OpenCores
URL https://opencores.org/ocsvn/two_dimensional_fast_hartley_transform/two_dimensional_fast_hartley_transform/trunk

Subversion Repositories two_dimensional_fast_hartley_transform

[/] [two_dimensional_fast_hartley_transform/] [trunk/] [fht_bfly.v] - Diff between revs 4 and 8

Show entire file | Details | Blame | View Log

Rev 4 Rev 8
Line 113... Line 113...
        reg [N:0] plus1;
        reg [N:0] plus1;
        begin
        begin
                ainv  = ~a;
                ainv  = ~a;
                plus1 = rca_N(ainv,{{N-1{1'b0}},1'b1});
                plus1 = rca_N(ainv,{{N-1{1'b0}},1'b1});
 
 
 
                // pragma coverage block = off
        // synopsys translate_off
        // synopsys translate_off
        // The only problem is absolute minumum negative value
        // The only problem is absolute minumum negative value
        if (a == {1'b1, {N-1{1'b0}}}) $display("--->>> 2's complement ERROR - absolute minumum negative value");
                if (a == {1'b1, {N-1{1'b0}}})
 
                        $display("--->>> 2's complement ERROR - absolute minimum negative value: %0b\n\t %m",a);
        // synopsys translate_on
        // synopsys translate_on
 
                // pragma coverage block = on
 
 
                twos_complement = plus1[N-1:0];
                twos_complement = plus1[N-1:0];
        end
        end
        endfunction
        endfunction
 
 
endmodule
endmodule
 
 
 No newline at end of file
 No newline at end of file
 
// Update Log:
 
// 27 Jul. 2011
 
// added pragmas for coverage
 
 
 No newline at end of file
 No newline at end of file

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.