OpenCores
URL https://opencores.org/ocsvn/mkjpeg/mkjpeg/trunk

Subversion Repositories mkjpeg

[/] [mkjpeg/] [trunk/] [tb/] [vhdl/] [HostBFM.vhd] - Diff between revs 35 and 36

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 35 Rev 36
Line 344... Line 344...
   X"79", X"70", X"64", X"78", X"5C", X"65", X"67", X"63"
   X"79", X"70", X"64", X"78", X"5C", X"65", X"67", X"63"
  );
  );
 
 
  constant qrom_chr : ROMQ_TYPE :=
  constant qrom_chr : ROMQ_TYPE :=
  (
  (
   -- 50% for luminance! but used as chrominance, TODO!!
   -- 50% for chrominance
   X"10", X"0B", X"0C", X"0E", X"0C", X"0A", X"10", X"0E",
  X"11", X"12", X"12", X"18", X"15", X"18", X"2F", X"1A",
   X"0D", X"0E", X"12", X"11", X"10", X"13", X"18", X"28",
  X"1A", X"2F", X"63", X"42", X"38", X"42", X"63", X"63",
   X"1A", X"18", X"16", X"16", X"18", X"31", X"23", X"25",
  X"63", X"63", X"63", X"63", X"63", X"63", X"63", X"63",
   X"1D", X"28", X"3A", X"33", X"3D", X"3C", X"39", X"33",
  X"63", X"63", X"63", X"63", X"63", X"63", X"63", X"63",
   X"38", X"37", X"40", X"48", X"5C", X"4E", X"40", X"44",
  X"63", X"63", X"63", X"63", X"63", X"63", X"63", X"63",
   X"57", X"45", X"37", X"38", X"50", X"6D", X"51", X"57",
  X"63", X"63", X"63", X"63", X"63", X"63", X"63", X"63",
   X"5F", X"62", X"67", X"68", X"67", X"3E", X"4D", X"71",
  X"63", X"63", X"63", X"63", X"63", X"63", X"63", X"63",
   X"79", X"70", X"64", X"78", X"5C", X"65", X"67", X"63"
  X"63", X"63", X"63", X"63", X"63", X"63", X"63", X"63"
  );
  );
 
 
    variable data_read  : unsigned(31 downto 0);
    variable data_read  : unsigned(31 downto 0);
    variable data_write : unsigned(31 downto 0);
    variable data_write : unsigned(31 downto 0);
    variable addr       : unsigned(31 downto 0);
    variable addr       : unsigned(31 downto 0);

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.