OpenCores
URL https://opencores.org/ocsvn/mkjpeg/mkjpeg/trunk

Subversion Repositories mkjpeg

[/] [mkjpeg/] [trunk/] [design/] [zigzag/] [ZZ_TOP.VHD] - Diff between revs 25 and 32

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 25 Rev 32
Line 32... Line 32...
  use ieee.numeric_std.all;
  use ieee.numeric_std.all;
 
 
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- user packages/libraries:
-- user packages/libraries:
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
 
library work;
 
  use work.JPEG_PKG.all;
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
----------------------------------- ENTITY ------------------------------------
----------------------------------- ENTITY ------------------------------------
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
Line 46... Line 47...
        CLK                : in  std_logic;
        CLK                : in  std_logic;
        RST                : in  std_logic;
        RST                : in  std_logic;
        -- CTRL
        -- CTRL
        start_pb           : in  std_logic;
        start_pb           : in  std_logic;
        ready_pb           : out std_logic;
        ready_pb           : out std_logic;
 
        zig_sm_settings    : in  T_SM_SETTINGS;
 
 
        -- RLE
        -- RLE
        rle_buf_sel        : in  std_logic;
        rle_buf_sel        : in  std_logic;
        rle_rdaddr         : in  std_logic_vector(5 downto 0);
        rle_rdaddr         : in  std_logic_vector(5 downto 0);
        rle_data           : out std_logic_vector(11 downto 0);
        rle_data           : out std_logic_vector(11 downto 0);
Line 60... Line 62...
        fdct_data          : in  std_logic_vector(11 downto 0);
        fdct_data          : in  std_logic_vector(11 downto 0);
        fdct_rden          : out std_logic;
        fdct_rden          : out std_logic;
 
 
        -- HOST
        -- HOST
        qdata              : in  std_logic_vector(7 downto 0);
        qdata              : in  std_logic_vector(7 downto 0);
        qaddr              : in  std_logic_vector(5 downto 0);
        qaddr              : in  std_logic_vector(6 downto 0);
        qwren              : in  std_logic
        qwren              : in  std_logic
    );
    );
end entity ZZ_TOP;
end entity ZZ_TOP;
 
 
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
Line 136... Line 138...
  -------------------------------------------------------------------
  -------------------------------------------------------------------
  U_quantizer : entity work.quantizer
  U_quantizer : entity work.quantizer
  generic map
  generic map
    (
    (
      SIZE_C        => 12,
      SIZE_C        => 12,
      RAMQADDR_W    => 6,
      RAMQADDR_W    => 7,
      RAMQDATA_W    => 8
      RAMQDATA_W    => 8
    )
    )
  port map
  port map
    (
    (
      rst      => RST,
      rst      => RST,
Line 148... Line 150...
      di       => zigzag_dout,
      di       => zigzag_dout,
      divalid  => zigzag_dovalid,
      divalid  => zigzag_dovalid,
      qdata    => qdata,
      qdata    => qdata,
      qwaddr   => qaddr,
      qwaddr   => qaddr,
      qwren    => qwren,
      qwren    => qwren,
 
      cmp_idx  => zig_sm_settings.cmp_idx,
 
 
      do       => quant_dout,
      do       => quant_dout,
      dovalid  => quant_dovalid
      dovalid  => quant_dovalid
    );
    );
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.