OpenCores
URL https://opencores.org/ocsvn/mkjpeg/mkjpeg/trunk

Subversion Repositories mkjpeg

[/] [mkjpeg/] [trunk/] [design/] [top/] [JpegEnc.vhd] - Diff between revs 46 and 49

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 46 Rev 49
Line 58... Line 58...
        OPB_retry          : out std_logic;
        OPB_retry          : out std_logic;
        OPB_toutSup        : out std_logic;
        OPB_toutSup        : out std_logic;
        OPB_errAck         : out std_logic;
        OPB_errAck         : out std_logic;
 
 
        -- IMAGE RAM
        -- IMAGE RAM
        iram_wdata         : in  std_logic_vector(23 downto 0);
        iram_wdata         : in  std_logic_vector(C_PIXEL_BITS-1 downto 0);
        iram_wren          : in  std_logic;
        iram_wren          : in  std_logic;
        iram_fifo_afull    : out std_logic;
        iram_fifo_afull    : out std_logic;
 
 
        -- OUT RAM
        -- OUT RAM
        ram_byte           : out std_logic_vector(7 downto 0);
        ram_byte           : out std_logic_vector(7 downto 0);

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.