OpenCores
URL https://opencores.org/ocsvn/mkjpeg/mkjpeg/trunk

Subversion Repositories mkjpeg

[/] [mkjpeg/] [trunk/] [design/] [top/] [JpegEnc.vhd] - Diff between revs 34 and 36

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 34 Rev 36
Line 404... Line 404...
        CLK                => CLK,
        CLK                => CLK,
        RST                => RST,
        RST                => RST,
        -- CTRL
        -- CTRL
        start_pb           => huf_start,
        start_pb           => huf_start,
        ready_pb           => huf_ready,
        ready_pb           => huf_ready,
 
        huf_sm_settings    => huf_sm_settings,
 
 
        -- HOST IF
        -- HOST IF
        sof                => sof,
        sof                => sof,
        img_size_x         => img_size_x,
        img_size_x         => img_size_x,
        img_size_y         => img_size_y,
        img_size_y         => img_size_y,
Line 420... Line 421...
        VLI_size           => huf_size,
        VLI_size           => huf_size,
        VLI                => huf_amplitude,
        VLI                => huf_amplitude,
        d_val              => huf_dval,
        d_val              => huf_dval,
        rle_fifo_empty     => huf_fifo_empty,
        rle_fifo_empty     => huf_fifo_empty,
 
 
 
 
        -- Byte Stuffer
        -- Byte Stuffer
        bs_buf_sel         => bs_buf_sel,
        bs_buf_sel         => bs_buf_sel,
        bs_fifo_empty      => bs_fifo_empty,
        bs_fifo_empty      => bs_fifo_empty,
        bs_rd_req          => bs_rd_req,
        bs_rd_req          => bs_rd_req,
        bs_packed_byte     => bs_packed_byte
        bs_packed_byte     => bs_packed_byte

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.