OpenCores
URL https://opencores.org/ocsvn/mkjpeg/mkjpeg/trunk

Subversion Repositories mkjpeg

[/] [mkjpeg/] [trunk/] [design/] [common/] [JPEG_PKG.vhd] - Diff between revs 58 and 61

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 58 Rev 61
Line 45... Line 45...
  constant C_PIXEL_BITS    : integer := 24;
  constant C_PIXEL_BITS    : integer := 24;
 
 
  type T_SM_SETTINGS is record
  type T_SM_SETTINGS is record
    x_cnt               : unsigned(15 downto 0);
    x_cnt               : unsigned(15 downto 0);
    y_cnt               : unsigned(15 downto 0);
    y_cnt               : unsigned(15 downto 0);
    cmp_idx             : unsigned(1 downto 0);
    cmp_idx             : unsigned(2 downto 0);
  end record;
  end record;
 
 
  constant C_SM_SETTINGS : T_SM_SETTINGS :=
  constant C_SM_SETTINGS : T_SM_SETTINGS :=
  (
  (
    (others => '0'),
    (others => '0'),

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.