OpenCores
URL https://opencores.org/ocsvn/mkjpeg/mkjpeg/trunk

Subversion Repositories mkjpeg

[/] [mkjpeg/] [trunk/] [design/] [common/] [JPEG_PKG.vhd] - Diff between revs 42 and 49

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 42 Rev 49
Line 36... Line 36...
 
 
  -- 0=highest clock per pixel performance
  -- 0=highest clock per pixel performance
  -- 1=memory used by BUF_FIFO halved, speed performance reduced by circa 18%
  -- 1=memory used by BUF_FIFO halved, speed performance reduced by circa 18%
  constant C_MEMORY_OPTIMIZED : integer := 0;
  constant C_MEMORY_OPTIMIZED : integer := 0;
 
 
 
  -- 24 bit format RGB 888 bits
 
  -- 16 bit format RGB 565 bits
 
  constant C_PIXEL_BITS    : integer := 16;
 
 
  type T_SM_SETTINGS is record
  type T_SM_SETTINGS is record
    x_cnt               : unsigned(15 downto 0);
    x_cnt               : unsigned(15 downto 0);
    y_cnt               : unsigned(15 downto 0);
    y_cnt               : unsigned(15 downto 0);
    cmp_idx             : unsigned(1 downto 0);
    cmp_idx             : unsigned(1 downto 0);
  end record;
  end record;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.