OpenCores
URL https://opencores.org/ocsvn/mkjpeg/mkjpeg/trunk

Subversion Repositories mkjpeg

[/] [mkjpeg/] [trunk/] [design/] [common/] [JPEG_PKG.vhd] - Diff between revs 28 and 32

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 28 Rev 32
Line 25... Line 25...
  use ieee.numeric_std.all;
  use ieee.numeric_std.all;
 
 
package JPEG_PKG is
package JPEG_PKG is
 
 
  -- do not change, constant
  -- do not change, constant
  constant C_HDR_SIZE         : integer := 338;
  constant C_HDR_SIZE         : integer := 407;
 
 
  -- warning! this parameter heavily affects memory size required
  -- warning! this parameter heavily affects memory size required
  -- if expected image width is known change this parameter to match this
  -- if expected image width is known change this parameter to match this
  -- otherwise some onchip RAM will be wasted and never used
  -- otherwise some onchip RAM will be wasted and never used
  constant C_MAX_LINE_WIDTH   : integer := 1024;
  constant C_MAX_LINE_WIDTH   : integer := 640;
 
 
  -- 0=highest clock per pixel performance
  -- 0=highest clock per pixel performance
  -- 1=memory used by BUF_FIFO halved, speed performance reduced by circa 18%
  -- 1=memory used by BUF_FIFO halved, speed performance reduced by circa 18%
  constant C_MEMORY_OPTIMIZED : integer := 1;
  constant C_MEMORY_OPTIMIZED : integer := 1;
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.