OpenCores
URL https://opencores.org/ocsvn/mkjpeg/mkjpeg/trunk

Subversion Repositories mkjpeg

[/] [mkjpeg/] [trunk/] [design/] [JFIFGen/] [JFIFGen.vhd] - Diff between revs 32 and 36

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 32 Rev 36
Line 86... Line 86...
  constant C_QLUM_BASE : integer := 44;
  constant C_QLUM_BASE : integer := 44;
  constant C_QCHR_BASE : integer := 44+69;
  constant C_QCHR_BASE : integer := 44+69;
 
 
 
 
  signal hr_data      : std_logic_vector(7 downto 0);
  signal hr_data      : std_logic_vector(7 downto 0);
  signal hr_waddr     : std_logic_vector(8 downto 0);
  signal hr_waddr     : std_logic_vector(9 downto 0);
  signal hr_raddr     : std_logic_vector(8 downto 0);
  signal hr_raddr     : std_logic_vector(9 downto 0);
  signal hr_we        : std_logic;
  signal hr_we        : std_logic;
  signal hr_q         : std_logic_vector(7 downto 0);
  signal hr_q         : std_logic_vector(7 downto 0);
  signal size_wr_cnt  : unsigned(2 downto 0);
  signal size_wr_cnt  : unsigned(2 downto 0);
  signal size_wr      : std_logic;
  signal size_wr      : std_logic;
  signal rd_cnt       : unsigned(8 downto 0);
  signal rd_cnt       : unsigned(9 downto 0);
  signal rd_en        : std_logic;
  signal rd_en        : std_logic;
  signal rd_en_d1     : std_logic;
  signal rd_en_d1     : std_logic;
  signal rd_cnt_d1    : unsigned(8 downto 0);
  signal rd_cnt_d1    : unsigned(rd_cnt'range);
  signal rd_cnt_d2    : unsigned(8 downto 0);
  signal rd_cnt_d2    : unsigned(rd_cnt'range);
  signal eoi_cnt      : unsigned(1 downto 0);
  signal eoi_cnt      : unsigned(1 downto 0);
  signal eoi_wr       : std_logic;
  signal eoi_wr       : std_logic;
  signal eoi_wr_d1    : std_logic;
  signal eoi_wr_d1    : std_logic;
 
 
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
Line 112... Line 112...
  -- Header RAM
  -- Header RAM
  -------------------------------------------------------------------
  -------------------------------------------------------------------
  U_Header_RAM : entity work.RAMZ
  U_Header_RAM : entity work.RAMZ
  generic map
  generic map
  (
  (
      RAMADDR_W     => 9,
      RAMADDR_W     => 10,
      RAMDATA_W     => 8
      RAMDATA_W     => 8
  )
  )
  port map
  port map
  (
  (
        d           => hr_data,
        d           => hr_data,

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.