OpenCores
URL https://opencores.org/ocsvn/mkjpeg/mkjpeg/trunk

Subversion Repositories mkjpeg

[/] [mkjpeg/] [trunk/] [design/] [rle/] [RLE_TOP.VHD] - Diff between revs 38 and 40

Show entire file | Details | Blame | View Log

Rev 38 Rev 40
Line 180... Line 180...
 
 
        -- EOB can only be on AC!
        -- EOB can only be on AC!
        if dbuf_data = (dbuf_data'range => '0') and wr_cnt /= 0 then
        if dbuf_data = (dbuf_data'range => '0') and wr_cnt /= 0 then
          ready_pb <= '1';
          ready_pb <= '1';
        else
        else
          if wr_cnt + resize(unsigned(rle_runlength), wr_cnt'length) = 63 then
          if wr_cnt + resize(unsigned(rle_runlength), wr_cnt'length) = 64-1 then
            ready_pb <= '1';
            ready_pb <= '1';
          end if;
          end if;
        end if;
        end if;
      end if;
      end if;
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.