OpenCores
URL https://opencores.org/ocsvn/mkjpeg/mkjpeg/trunk

Subversion Repositories mkjpeg

[/] [mkjpeg/] [trunk/] [design/] [JFIFGen/] [JFIFGen.vhd] - Diff between revs 37 and 38

Show entire file | Details | Blame | View Log

Rev 37 Rev 38
Line 122... Line 122...
begin
begin
 
 
  -------------------------------------------------------------------
  -------------------------------------------------------------------
  -- Header RAM
  -- Header RAM
  -------------------------------------------------------------------
  -------------------------------------------------------------------
  U_Header_RAM : entity work.HeaderRam
  U_Header_RAM : HeaderRam
  port map
  port map
  (
  (
        d           => hr_data,
        d           => hr_data,
        waddr       => hr_waddr,
        waddr       => hr_waddr,
        raddr       => hr_raddr,
        raddr       => hr_raddr,

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.