OpenCores
URL https://opencores.org/ocsvn/mkjpeg/mkjpeg/trunk

Subversion Repositories mkjpeg

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /mkjpeg/trunk/design/top
    from Rev 52 to Rev 61
    Reverse comparison

Rev 52 → Rev 61

/JpegEnc.vhd
133,7 → 133,6
signal rle_sm_settings : T_SM_SETTINGS;
signal huf_sm_settings : T_SM_SETTINGS;
signal bs_sm_settings : T_SM_SETTINGS;
signal cmp_max : std_logic_vector(1 downto 0);
signal image_size_reg : std_logic_vector(31 downto 0);
signal jfif_ram_byte : std_logic_vector(7 downto 0);
signal jfif_ram_wren : std_logic;
192,8 → 191,7
img_size_x => img_size_x,
img_size_y => img_size_y,
img_size_wr => img_size_wr,
sof => sof,
cmp_max => cmp_max
sof => sof
);
-------------------------------------------------------------------
238,7 → 236,6
img_size_y => img_size_y,
jpeg_ready => jpeg_ready,
jpeg_busy => jpeg_busy,
cmp_max => cmp_max,
 
-- FDCT
fdct_start => fdct_start,
411,7 → 408,6
sof => sof,
img_size_x => img_size_x,
img_size_y => img_size_y,
cmp_max => cmp_max,
 
-- RLE
rle_buf_sel => huf_buf_sel,

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.