OpenCores
URL https://opencores.org/ocsvn/mkjpeg/mkjpeg/trunk

Subversion Repositories mkjpeg

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /mkjpeg/trunk/design/top
    from Rev 46 to Rev 49
    Reverse comparison

Rev 46 → Rev 49

/JpegEnc.vhd
60,7 → 60,7
OPB_errAck : out std_logic;
-- IMAGE RAM
iram_wdata : in std_logic_vector(23 downto 0);
iram_wdata : in std_logic_vector(C_PIXEL_BITS-1 downto 0);
iram_wren : in std_logic;
iram_fifo_afull : out std_logic;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.