OpenCores
URL https://opencores.org/ocsvn/mkjpeg/mkjpeg/trunk

Subversion Repositories mkjpeg

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /mkjpeg/trunk/design/top
    from Rev 36 to Rev 42
    Reverse comparison

Rev 36 → Rev 42

/JpegEnc.vhd
67,7 → 67,8
-- OUT RAM
ram_byte : out std_logic_vector(7 downto 0);
ram_wren : out std_logic;
ram_wraddr : out std_logic_vector(23 downto 0)
ram_wraddr : out std_logic_vector(23 downto 0);
outif_almost_full : in std_logic
);
end entity JpegEnc;
 
230,6 → 231,9
(
CLK => CLK,
RST => RST,
-- output IF
outif_almost_full => outif_almost_full,
 
-- HOST IF
sof => sof,

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.