URL
https://opencores.org/ocsvn/mkjpeg/mkjpeg/trunk
Subversion Repositories mkjpeg
Compare Revisions
- This comparison shows the changes necessary to convert path
/mkjpeg/trunk/design/top
- from Rev 25 to Rev 32
- ↔ Reverse comparison
Rev 25 → Rev 32
/JpegEnc.vhd
79,7 → 79,7
architecture RTL of JpegEnc is |
|
signal qdata : std_logic_vector(7 downto 0); |
signal qaddr : std_logic_vector(5 downto 0); |
signal qaddr : std_logic_vector(6 downto 0); |
signal qwren : std_logic; |
signal jpeg_ready : std_logic; |
signal jpeg_busy : std_logic; |
310,6 → 310,7
-- CTRL |
start_pb => zig_start, |
ready_pb => zig_ready, |
zig_sm_settings => zig_sm_settings, |
|
-- RLE |
rle_buf_sel => rle_buf_sel, |