OpenCores
URL https://opencores.org/ocsvn/mkjpeg/mkjpeg/trunk

Subversion Repositories mkjpeg

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /mkjpeg/trunk/design/control
    from Rev 44 to Rev 46
    Reverse comparison

Rev 44 → Rev 46

/CtrlSM.vhd
95,7 → 95,7
-- OUT MUX
out_mux_ctrl : out std_logic
);
);
end entity CtrlSM;
 
-------------------------------------------------------------------------------

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.