OpenCores
URL https://opencores.org/ocsvn/mkjpeg/mkjpeg/trunk

Subversion Repositories mkjpeg

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /mkjpeg/trunk/design/control
    from Rev 42 to Rev 44
    Reverse comparison

Rev 42 → Rev 44

/CtrlSM.vhd
105,6 → 105,7
-------------------------------------------------------------------------------
architecture RTL of CtrlSM is
 
 
constant NUM_STAGES : integer := 6;
 
type T_STATE is (IDLES, JFIF, HORIZ, COMP, VERT, EOI);

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.