OpenCores
URL https://opencores.org/ocsvn/mkjpeg/mkjpeg/trunk

Subversion Repositories mkjpeg

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /mkjpeg/trunk/design/control
    from Rev 34 to Rev 42
    Reverse comparison

Rev 34 → Rev 42

/CtrlSM.vhd
46,6 → 46,9
(
CLK : in std_logic;
RST : in std_logic;
-- output IF
outif_almost_full : in std_logic;
 
-- HOST IF
sof : in std_logic;
175,7 → 178,7
);
end generate G_S_CTRL_SM;
idle(NUM_STAGES+1) <= '1';
idle(NUM_STAGES+1) <= not outif_almost_full;
-------------------------------------------------------------------
-- Regs

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.