OpenCores
URL https://opencores.org/ocsvn/mkjpeg/mkjpeg/trunk

Subversion Repositories mkjpeg

[/] [mkjpeg/] [trunk/] [tb/] [wave.do] - Blame information for rev 42

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 25 mikel262
onerror {resume}
2
quietly virtual signal -install /jpeg_tb/u_jpegenc/u_fdct { /jpeg_tb/u_jpegenc/u_fdct/dbuf_waddr(5 downto 0)} wad
3
quietly WaveActivateNextPane {} 0
4
add wave -noupdate -divider HostBFM
5
add wave -noupdate -format Logic /jpeg_tb/u_hostbfm/clk
6
add wave -noupdate -format Logic /jpeg_tb/u_hostbfm/rst
7
add wave -noupdate -format Literal /jpeg_tb/u_hostbfm/opb_abus
8
add wave -noupdate -format Literal /jpeg_tb/u_hostbfm/opb_be
9
add wave -noupdate -format Literal /jpeg_tb/u_hostbfm/opb_dbus_in
10
add wave -noupdate -format Logic /jpeg_tb/u_hostbfm/opb_rnw
11
add wave -noupdate -format Logic /jpeg_tb/u_hostbfm/opb_select
12
add wave -noupdate -format Literal /jpeg_tb/u_hostbfm/opb_dbus_out
13
add wave -noupdate -format Logic /jpeg_tb/u_hostbfm/opb_xferack
14
add wave -noupdate -format Logic /jpeg_tb/u_hostbfm/opb_retry
15
add wave -noupdate -format Logic /jpeg_tb/u_hostbfm/opb_toutsup
16
add wave -noupdate -format Logic /jpeg_tb/u_hostbfm/opb_errack
17
add wave -noupdate -format Literal /jpeg_tb/u_hostbfm/iram_wdata
18
add wave -noupdate -format Logic /jpeg_tb/u_hostbfm/iram_wren
19
add wave -noupdate -format Logic /jpeg_tb/u_hostbfm/fifo_almost_full
20
add wave -noupdate -format Logic /jpeg_tb/u_hostbfm/sim_done
21
add wave -noupdate -format Literal /jpeg_tb/u_hostbfm/num_comps
22
add wave -noupdate -format Literal -radix unsigned /jpeg_tb/u_hostbfm/addr_inc
23
add wave -noupdate -divider JpegEnc
24 42 mikel262
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/outif_almost_full
25 25 mikel262
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/clk
26
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/rst
27
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/opb_abus
28
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/opb_be
29
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/opb_dbus_in
30
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/opb_rnw
31
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/opb_select
32
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/opb_dbus_out
33
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/opb_xferack
34
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/opb_retry
35
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/opb_toutsup
36
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/opb_errack
37
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/iram_wdata
38
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/iram_wren
39
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/ram_byte
40
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/ram_wren
41
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/ram_wraddr
42
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/qdata
43
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/qaddr
44
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/qwren
45
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/jpeg_ready
46
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/jpeg_busy
47
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/outram_base_addr
48
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/num_enc_bytes
49
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/img_size_x
50
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/img_size_y
51
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/sof
52
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/jpg_iram_rden
53
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/jpg_iram_rdaddr
54
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/jpg_iram_rdata
55
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/fdct_start
56
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/fdct_ready
57
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/zig_start
58
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/zig_ready
59
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/rle_start
60
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/rle_ready
61
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/huf_start
62
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/huf_ready
63
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/bs_start
64
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/bs_ready
65
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/zz_buf_sel
66
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/zz_rd_addr
67
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/zz_data
68
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/rle_buf_sel
69
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/rle_rdaddr
70
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/rle_data
71
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/huf_buf_sel
72
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/huf_rdaddr
73
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/huf_rden
74
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/huf_runlength
75
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/huf_size
76
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/huf_amplitude
77
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/huf_dval
78
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/bs_buf_sel
79
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/bs_fifo_empty
80
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/bs_rd_req
81
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/bs_packed_byte
82
add wave -noupdate -divider CtrlSM
83
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_ctrlsm/clk
84
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_ctrlsm/rst
85
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_ctrlsm/sof
86 34 mikel262
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_ctrlsm/img_size_x
87
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_ctrlsm/img_size_y
88 25 mikel262
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_ctrlsm/jpeg_ready
89
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_ctrlsm/jpeg_busy
90 34 mikel262
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_ctrlsm/cmp_max
91 25 mikel262
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_ctrlsm/fdct_start
92
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_ctrlsm/fdct_ready
93 34 mikel262
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_ctrlsm/fdct_sm_settings
94 25 mikel262
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_ctrlsm/zig_start
95
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_ctrlsm/zig_ready
96 34 mikel262
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_ctrlsm/zig_sm_settings
97
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_ctrlsm/qua_start
98
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_ctrlsm/qua_ready
99
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_ctrlsm/qua_sm_settings
100 25 mikel262
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_ctrlsm/rle_start
101
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_ctrlsm/rle_ready
102 34 mikel262
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_ctrlsm/rle_sm_settings
103 25 mikel262
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_ctrlsm/huf_start
104
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_ctrlsm/huf_ready
105 34 mikel262
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_ctrlsm/huf_sm_settings
106 25 mikel262
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_ctrlsm/bs_start
107
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_ctrlsm/bs_ready
108 34 mikel262
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_ctrlsm/bs_sm_settings
109
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_ctrlsm/jfif_start
110
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_ctrlsm/jfif_ready
111
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_ctrlsm/jfif_eoi
112
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_ctrlsm/out_mux_ctrl
113
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_ctrlsm/reg
114 25 mikel262
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_ctrlsm/main_state
115 34 mikel262
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_ctrlsm/start
116
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_ctrlsm/idle
117
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_ctrlsm/start_pb
118
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_ctrlsm/ready_pb
119
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_ctrlsm/fsm
120 25 mikel262
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_ctrlsm/start1_d
121 34 mikel262
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_ctrlsm/rsm
122
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_ctrlsm/out_mux_ctrl_s
123
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_ctrlsm/out_mux_ctrl_s2
124 25 mikel262
add wave -noupdate -divider BUF_FIFO
125
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_buf_fifo/clk
126
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_buf_fifo/rst
127 40 mikel262
add wave -noupdate -format Literal -radix unsigned /jpeg_tb/u_jpegenc/u_buf_fifo/img_size_x
128
add wave -noupdate -format Literal -radix unsigned /jpeg_tb/u_jpegenc/u_buf_fifo/img_size_y
129 25 mikel262
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_buf_fifo/sof
130
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_buf_fifo/iram_wren
131
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_buf_fifo/iram_wdata
132
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_buf_fifo/fifo_almost_full
133
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_buf_fifo/fdct_block_cnt
134
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_buf_fifo/fdct_fifo_rd
135
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_buf_fifo/fdct_fifo_empty
136
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_buf_fifo/fdct_fifo_q
137 28 mikel262
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_buf_fifo/fdct_fifo_hf_full
138 25 mikel262
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_buf_fifo/fifo_rd
139
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_buf_fifo/fifo_wr
140
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_buf_fifo/fifo_data
141
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_buf_fifo/fifo_data_d1
142 40 mikel262
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_buf_fifo/fifo_wr(7)
143
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_buf_fifo/fifo_wr(6)
144
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_buf_fifo/fifo_wr(5)
145
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_buf_fifo/fifo_wr(4)
146
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_buf_fifo/fifo_wr(3)
147
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_buf_fifo/fifo_wr(2)
148
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_buf_fifo/fifo_wr(1)
149
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_buf_fifo/fifo_wr(0)
150
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_buf_fifo/wblock_cnt
151 25 mikel262
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_buf_fifo/fifo_full
152
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_buf_fifo/fifo_empty
153 40 mikel262
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_buf_fifo/fifo_full(7)
154
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_buf_fifo/fifo_full(6)
155
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_buf_fifo/fifo_full(5)
156
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_buf_fifo/fifo_full(4)
157
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_buf_fifo/fifo_full(3)
158
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_buf_fifo/fifo_full(2)
159
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_buf_fifo/fifo_full(1)
160
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_buf_fifo/fifo_full(0)
161
add wave -noupdate -format Literal -radix unsigned /jpeg_tb/u_jpegenc/u_buf_fifo/fifo_count(0)
162
add wave -noupdate -format Literal -radix unsigned /jpeg_tb/u_jpegenc/u_buf_fifo/fifo_count(1)
163
add wave -noupdate -format Literal -radix unsigned /jpeg_tb/u_jpegenc/u_buf_fifo/fifo_count(2)
164
add wave -noupdate -format Literal -radix unsigned /jpeg_tb/u_jpegenc/u_buf_fifo/fifo_count(3)
165
add wave -noupdate -format Literal -radix unsigned /jpeg_tb/u_jpegenc/u_buf_fifo/fifo_count(4)
166
add wave -noupdate -format Literal -radix unsigned /jpeg_tb/u_jpegenc/u_buf_fifo/fifo_count(5)
167
add wave -noupdate -format Literal -radix unsigned /jpeg_tb/u_jpegenc/u_buf_fifo/fifo_count(6)
168
add wave -noupdate -format Literal -radix unsigned /jpeg_tb/u_jpegenc/u_buf_fifo/fifo_count(7)
169 28 mikel262
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_buf_fifo/fifo_half_full
170 40 mikel262
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_buf_fifo/fifo_half_full(7)
171
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_buf_fifo/fifo_half_full(6)
172
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_buf_fifo/fifo_half_full(5)
173
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_buf_fifo/fifo_half_full(4)
174
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_buf_fifo/fifo_half_full(3)
175
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_buf_fifo/fifo_half_full(2)
176
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_buf_fifo/fifo_half_full(1)
177
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_buf_fifo/fifo_half_full(0)
178 28 mikel262
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_buf_fifo/fifo_count
179
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_buf_fifo/pixel_cnt
180 25 mikel262
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_buf_fifo/last_idx
181 28 mikel262
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_buf_fifo/idx_reg
182
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_buf_fifo/wr_idx_reg
183
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_buf_fifo/ramq
184
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_buf_fifo/ramenw
185
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_buf_fifo/ramd
186
add wave -noupdate -format Literal -radix unsigned /jpeg_tb/u_jpegenc/u_buf_fifo/ramwaddr
187
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_buf_fifo/ramwaddr_offset
188
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_buf_fifo/ramwaddr_base
189
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_buf_fifo/ramraddr
190
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_buf_fifo/ramraddr_base
191
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_buf_fifo/ramraddr_offset
192
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_buf_fifo/ramenr
193
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_buf_fifo/fifo_ramwaddr
194
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_buf_fifo/fifo_ramenw
195
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_buf_fifo/fifo_ramraddr
196
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_buf_fifo/fifo_ramenr
197
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_buf_fifo/offset_ramwaddr
198 25 mikel262
add wave -noupdate -divider FDCT
199
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/clk
200
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/rst
201
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/start_pb
202
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/ready_pb
203
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/writing_en
204 40 mikel262
add wave -noupdate -format Literal -radix unsigned -expand /jpeg_tb/u_jpegenc/u_fdct/fdct_sm_settings
205 25 mikel262
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/bf_block_cnt
206
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/bf_fifo_rd
207
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/bf_fifo_empty
208
add wave -noupdate -format Literal -radix hexadecimal /jpeg_tb/u_jpegenc/u_fdct/bf_fifo_q
209
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/bf_fifo_hf_full
210
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/start_int
211
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/fram1_data
212
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/fram1_q
213
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/fram1_we
214
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/fram1_waddr
215
add wave -noupdate -format Literal -radix unsigned /jpeg_tb/u_jpegenc/u_fdct/fram1_raddr
216
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/fram1_rd_d
217
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/fram1_rd
218
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/bf_fifo_empty_d1
219
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/rd_started
220
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/zz_buf_sel
221
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/zz_rd_addr
222
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/zz_data
223
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/zz_rden
224
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/img_size_x
225
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/img_size_y
226
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/sof
227
add wave -noupdate -format Literal -radix unsigned /jpeg_tb/u_jpegenc/u_fdct/mdct_data_in
228
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/mdct_idval
229
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/mdct_odval
230
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/mdct_data_out
231
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/odv1
232
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/dcto1
233
add wave -noupdate -format Literal -radix unsigned /jpeg_tb/u_jpegenc/u_fdct/x_block_cnt
234
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/y_block_cnt
235
add wave -noupdate -format Literal -radix unsigned /jpeg_tb/u_jpegenc/u_fdct/x_block_cnt_cur
236
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/y_block_cnt_cur
237
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/cmp_idx
238
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/cur_cmp_idx
239
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/rd_addr
240
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/input_rd_cnt
241
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/rd_en
242
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/rd_en_d1
243
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/rdaddr
244
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/bf_dval
245
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/wr_cnt
246
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/dbuf_data
247
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/dbuf_q
248
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/dbuf_we
249
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/dbuf_waddr
250
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/dbuf_raddr
251
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/xw_cnt
252
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/yw_cnt
253
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/dbuf_q_z1
254
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/sim_rd_addr
255
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/y_reg_1
256
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/y_reg_2
257
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/y_reg_3
258
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/cb_reg_1
259
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/cb_reg_2
260
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/cb_reg_3
261
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/cr_reg_1
262
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/cr_reg_2
263
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/cr_reg_3
264
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/y_reg
265
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/cb_reg
266
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/cr_reg
267
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/r_s
268
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/g_s
269
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/b_s
270
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/y_8bit
271
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/cb_8bit
272
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/cr_8bit
273
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/cur_cmp_idx_d1
274
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/cur_cmp_idx_d2
275
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/cur_cmp_idx_d3
276
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/cur_cmp_idx_d4
277
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/cur_cmp_idx_d5
278
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/cur_cmp_idx_d6
279
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/cur_cmp_idx_d7
280
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/cur_cmp_idx_d8
281
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/cur_cmp_idx_d9
282
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/fifo1_rd
283
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/fifo1_wr
284
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/fifo1_q
285
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/fifo1_full
286
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/fifo1_empty
287
add wave -noupdate -format Literal -radix unsigned /jpeg_tb/u_jpegenc/u_fdct/fifo1_count
288
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/fifo1_rd_cnt
289
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/fifo1_q_dval
290
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/fifo_data_in
291
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/fifo_rd_arm
292
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/eoi_fdct
293
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/bf_fifo_rd_s
294
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/wad
295
add wave -noupdate -divider ZZ_TOP
296
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_zz_top/clk
297
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_zz_top/rst
298
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_zz_top/start_pb
299
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_zz_top/ready_pb
300 34 mikel262
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_zz_top/zig_sm_settings
301
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_zz_top/qua_buf_sel
302
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_zz_top/qua_rdaddr
303
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_zz_top/qua_data
304 25 mikel262
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_zz_top/fdct_buf_sel
305
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_zz_top/fdct_rd_addr
306 34 mikel262
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_zz_top/fdct_data
307
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_zz_top/fdct_rden
308 25 mikel262
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_zz_top/dbuf_data
309 34 mikel262
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_zz_top/dbuf_q
310 25 mikel262
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_zz_top/dbuf_we
311
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_zz_top/dbuf_waddr
312
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_zz_top/dbuf_raddr
313 34 mikel262
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_zz_top/zigzag_di
314 25 mikel262
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_zz_top/zigzag_divalid
315 34 mikel262
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_zz_top/zigzag_dout
316 25 mikel262
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_zz_top/zigzag_dovalid
317
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_zz_top/wr_cnt
318
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_zz_top/rd_cnt
319
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_zz_top/rd_en_d
320
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_zz_top/rd_en
321
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_zz_top/fdct_buf_sel_s
322 34 mikel262
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_zz_top/zz_rd_addr
323
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_zz_top/fifo_empty
324
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_zz_top/fifo_rden
325 25 mikel262
add wave -noupdate -divider {zigzag core}
326
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_zz_top/u_zigzag/rst
327
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_zz_top/u_zigzag/clk
328
add wave -noupdate -format Literal -radix decimal /jpeg_tb/u_jpegenc/u_zz_top/u_zigzag/di
329
add wave -noupdate -format Logic -radix decimal /jpeg_tb/u_jpegenc/u_zz_top/u_zigzag/divalid
330
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_zz_top/u_zigzag/rd_addr
331
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_zz_top/u_zigzag/fifo_rden
332
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_zz_top/u_zigzag/fifo_empty
333
add wave -noupdate -format Literal -radix decimal /jpeg_tb/u_jpegenc/u_zz_top/u_zigzag/dout
334
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_zz_top/u_zigzag/dovalid
335
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_zz_top/u_zigzag/zz_rd_addr
336
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_zz_top/u_zigzag/fifo_wr
337
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_zz_top/u_zigzag/fifo_q
338
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_zz_top/u_zigzag/fifo_full
339
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_zz_top/u_zigzag/fifo_count
340
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_zz_top/u_zigzag/fifo_data_in
341 34 mikel262
add wave -noupdate -divider QUANT_TOP
342
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_quant_top/clk
343
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_quant_top/rst
344
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_quant_top/start_pb
345
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_quant_top/ready_pb
346
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_quant_top/qua_sm_settings
347
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_quant_top/rle_buf_sel
348
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_quant_top/rle_rdaddr
349
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_quant_top/rle_data
350
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_quant_top/zig_buf_sel
351
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_quant_top/zig_rd_addr
352
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_quant_top/zig_data
353
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_quant_top/qdata
354
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_quant_top/qaddr
355
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_quant_top/qwren
356
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_quant_top/dbuf_data
357
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_quant_top/dbuf_q
358
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_quant_top/dbuf_we
359
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_quant_top/dbuf_waddr
360
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_quant_top/dbuf_raddr
361
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_quant_top/zigzag_di
362
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_quant_top/zigzag_divalid
363 40 mikel262
add wave -noupdate -format Literal -radix decimal /jpeg_tb/u_jpegenc/u_quant_top/quant_dout
364 34 mikel262
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_quant_top/quant_dovalid
365
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_quant_top/wr_cnt
366
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_quant_top/rd_cnt
367
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_quant_top/rd_en_d
368
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_quant_top/rd_en
369
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_quant_top/zig_buf_sel_s
370
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_quant_top/zz_rd_addr
371
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_quant_top/fifo_empty
372 25 mikel262
add wave -noupdate -divider quantizer
373 34 mikel262
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_quant_top/u_quantizer/rst
374
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_quant_top/u_quantizer/clk
375
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_quant_top/u_quantizer/di
376
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_quant_top/u_quantizer/divalid
377
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_quant_top/u_quantizer/qdata
378
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_quant_top/u_quantizer/qwaddr
379
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_quant_top/u_quantizer/qwren
380
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_quant_top/u_quantizer/cmp_idx
381
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_quant_top/u_quantizer/do
382
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_quant_top/u_quantizer/dovalid
383
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_quant_top/u_quantizer/romaddr_s
384
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_quant_top/u_quantizer/slv_romaddr_s
385
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_quant_top/u_quantizer/romdatao_s
386
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_quant_top/u_quantizer/divisor_s
387
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_quant_top/u_quantizer/remainder_s
388
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_quant_top/u_quantizer/do_s
389
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_quant_top/u_quantizer/round_s
390
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_quant_top/u_quantizer/di_d1
391
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_quant_top/u_quantizer/pipeline_reg
392
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_quant_top/u_quantizer/sign_bit_pipe
393
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_quant_top/u_quantizer/do_rdiv
394
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_quant_top/u_quantizer/table_select
395 25 mikel262
add wave -noupdate -divider RLE_TOP
396
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/clk
397
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/rst
398
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/start_pb
399
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/ready_pb
400 40 mikel262
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/qua_buf_sel
401
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/qua_buf_sel_s
402
add wave -noupdate -format Literal -radix decimal /jpeg_tb/u_jpegenc/u_rle_top/qua_data
403
add wave -noupdate -format Literal -radix unsigned /jpeg_tb/u_jpegenc/u_rle_top/qua_rd_addr
404 25 mikel262
add wave -noupdate -format Literal -expand /jpeg_tb/u_jpegenc/rle_sm_settings
405
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/huf_buf_sel
406
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/huf_rden
407
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_rle_top/huf_runlength
408
add wave -noupdate -format Literal -radix unsigned /jpeg_tb/u_jpegenc/u_rle_top/huf_size
409
add wave -noupdate -format Literal -radix unsigned /jpeg_tb/u_jpegenc/u_rle_top/huf_amplitude
410
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/huf_dval
411
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/huf_fifo_empty
412
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_rle_top/dbuf_data
413
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_rle_top/dbuf_q
414
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/dbuf_we
415
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_rle_top/rle_runlength
416
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_rle_top/rle_size
417
add wave -noupdate -format Literal -radix decimal /jpeg_tb/u_jpegenc/u_rle_top/rle_amplitude
418
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/rle_dovalid
419 40 mikel262
add wave -noupdate -format Literal -radix unsigned /jpeg_tb/u_jpegenc/u_rle_top/wr_cnt
420 25 mikel262
add wave -noupdate -format Literal -radix decimal /jpeg_tb/u_jpegenc/u_rle_top/rle_di
421
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/rle_divalid
422
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/huf_dval_p0
423
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/clk
424
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/rst
425
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/data_in
426
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/wren
427
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/buf_sel
428
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/rd_req
429
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/fifo_empty
430
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/data_out
431
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/fifo1_rd
432
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/fifo1_wr
433
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/fifo1_q
434
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/fifo1_full
435
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/fifo1_empty
436
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/fifo1_count
437
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/fifo2_rd
438
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/fifo2_wr
439
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/fifo2_q
440
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/fifo2_full
441
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/fifo2_empty
442
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/fifo2_count
443
add wave -noupdate -divider rle_core
444
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rle/rst
445
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rle/clk
446
add wave -noupdate -format Literal -radix decimal /jpeg_tb/u_jpegenc/u_rle_top/u_rle/di
447 40 mikel262
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rle/divalid
448
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_rle_top/u_rle/zrl_di
449 25 mikel262
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rle/start_pb
450
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rle/sof
451 36 mikel262
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_rle_top/u_rle/rle_sm_settings
452
add wave -noupdate -format Literal -radix unsigned /jpeg_tb/u_jpegenc/u_rle_top/u_rle/runlength
453
add wave -noupdate -format Literal -radix unsigned /jpeg_tb/u_jpegenc/u_rle_top/u_rle/size
454
add wave -noupdate -format Literal -radix decimal /jpeg_tb/u_jpegenc/u_rle_top/u_rle/amplitude
455
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rle/dovalid
456
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_rle_top/u_rle/rd_addr
457
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_rle_top/u_rle/prev_dc_reg_0
458
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_rle_top/u_rle/prev_dc_reg_1
459
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_rle_top/u_rle/prev_dc_reg_2
460 40 mikel262
add wave -noupdate -format Literal -radix decimal /jpeg_tb/u_jpegenc/u_rle_top/u_rle/acc_reg
461 25 mikel262
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_rle_top/u_rle/size_reg
462 36 mikel262
add wave -noupdate -format Literal -radix decimal /jpeg_tb/u_jpegenc/u_rle_top/u_rle/ampli_vli_reg
463 25 mikel262
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_rle_top/u_rle/runlength_reg
464
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rle/dovalid_reg
465 36 mikel262
add wave -noupdate -format Literal -radix unsigned /jpeg_tb/u_jpegenc/u_rle_top/u_rle/zero_cnt
466 25 mikel262
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_rle_top/u_rle/wr_cnt_d1
467 40 mikel262
add wave -noupdate -format Literal -radix unsigned /jpeg_tb/u_jpegenc/u_rle_top/u_rle/wr_cnt
468
add wave -noupdate -format Literal -radix unsigned /jpeg_tb/u_jpegenc/u_rle_top/u_rle/rd_cnt
469 36 mikel262
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rle/rd_en
470
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rle/zrl_proc
471 38 mikel262
add wave -noupdate -divider DoubleFIFO
472
add wave -noupdate -divider RLE_DoubleFIFO
473
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/clk
474
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/rst
475
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/data_in
476
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/wren
477
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/buf_sel
478
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/rd_req
479
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/fifo_empty
480
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/data_out
481
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/fifo1_rd
482
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/fifo1_wr
483
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/fifo1_q
484
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/fifo1_full
485
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/fifo1_empty
486
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/fifo1_count
487
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/fifo2_rd
488
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/fifo2_wr
489
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/fifo2_q
490
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/fifo2_full
491
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/fifo2_empty
492
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/fifo2_count
493
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/fifo_data_in
494 25 mikel262
add wave -noupdate -divider HUFFMAN
495
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_huffman/clk
496
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_huffman/rst
497
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_huffman/start_pb
498
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_huffman/ready_pb
499 40 mikel262
add wave -noupdate -format Literal -expand /jpeg_tb/u_jpegenc/huf_sm_settings
500 25 mikel262
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_huffman/sof
501
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_huffman/runlength
502
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_huffman/vli_size
503
add wave -noupdate -format Literal -radix decimal /jpeg_tb/u_jpegenc/u_huffman/vli
504
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_huffman/img_size_x
505
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_huffman/img_size_y
506
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_huffman/rle_buf_sel
507
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_huffman/rle_fifo_empty
508
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_huffman/state
509
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_huffman/rle_buf_sel_s
510
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_huffman/first_rle_word
511
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_huffman/word_reg
512
add wave -noupdate -format Literal -radix unsigned /jpeg_tb/u_jpegenc/u_huffman/bit_ptr
513
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_huffman/num_fifo_wrs
514
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_huffman/fifo_wbyte
515
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_huffman/fifo_wrt_cnt
516
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_huffman/fifo_wren
517
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_huffman/last_block
518 40 mikel262
add wave -noupdate -format Literal -radix unsigned /jpeg_tb/u_jpegenc/u_huffman/image_area_size
519
add wave -noupdate -format Literal -radix unsigned /jpeg_tb/u_jpegenc/u_huffman/block_cnt
520 25 mikel262
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_huffman/rd_en
521
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_huffman/vli_size_d
522
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_huffman/vli_d
523
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_huffman/vlc_size
524
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_huffman/vlc
525
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_huffman/vli_ext
526
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_huffman/vli_ext_size
527
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_huffman/vlc_dc_size
528
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_huffman/vlc_dc
529
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_huffman/vlc_ac_size
530
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_huffman/vlc_ac
531 38 mikel262
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_huffman/d_val
532 25 mikel262
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_huffman/d_val_d1
533
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_huffman/d_val_d2
534
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_huffman/d_val_d3
535
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_huffman/ready_hfw
536
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_huffman/hfw_running
537
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_huffman/vli_size_r
538
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_huffman/vli_r
539
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_huffman/bs_buf_sel
540
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_huffman/bs_fifo_empty
541
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_huffman/bs_rd_req
542
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_huffman/bs_packed_byte
543
add wave -noupdate -divider BYTE_STUFFER
544
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_bytestuffer/clk
545
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_bytestuffer/rst
546
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_bytestuffer/start_pb
547
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_bytestuffer/ready_pb
548
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/bs_sm_settings
549
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_bytestuffer/sof
550
add wave -noupdate -format Literal -radix unsigned /jpeg_tb/u_jpegenc/u_bytestuffer/num_enc_bytes
551
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_bytestuffer/outram_base_addr
552
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_bytestuffer/huf_buf_sel
553
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_bytestuffer/huf_fifo_empty
554
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_bytestuffer/huf_rd_req
555
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_bytestuffer/huf_packed_byte
556
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_bytestuffer/latch_byte
557
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_bytestuffer/data_valid
558
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_bytestuffer/wait_for_ndata
559
add wave -noupdate -format Literal -expand /jpeg_tb/u_jpegenc/u_bytestuffer/huf_data_val
560
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_bytestuffer/wdata_reg
561
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_bytestuffer/wraddr
562
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_bytestuffer/wr_n_cnt
563
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_bytestuffer/huf_buf_sel_s
564
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_bytestuffer/rd_en
565
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_bytestuffer/huf_rd_req_s
566
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_bytestuffer/ram_wren
567
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_bytestuffer/ram_wraddr
568
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_bytestuffer/ram_byte
569
add wave -noupdate -format Logic /jpeg_tb/sim_done
570
add wave -noupdate -divider JFIFGen
571
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_jfifgen/clk
572
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_jfifgen/rst
573
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_jfifgen/start
574
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_jfifgen/ready
575
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_jfifgen/eoi
576
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_jfifgen/qwren
577
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_jfifgen/qwaddr
578
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_jfifgen/qwdata
579
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_jfifgen/image_size_reg
580
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_jfifgen/image_size_reg_wr
581
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_jfifgen/ram_byte
582
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_jfifgen/ram_wren
583
add wave -noupdate -format Literal -radix unsigned /jpeg_tb/u_jpegenc/u_jfifgen/ram_wraddr
584
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_jfifgen/hr_data
585
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_jfifgen/hr_waddr
586
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_jfifgen/hr_raddr
587
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_jfifgen/hr_we
588
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_jfifgen/hr_q
589
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_jfifgen/size_wr_cnt
590
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_jfifgen/size_wr
591
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_jfifgen/rd_cnt
592
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_jfifgen/rd_en
593
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_jfifgen/rd_en_d1
594
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_jfifgen/rd_cnt_d1
595
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_jfifgen/rd_cnt_d2
596
add wave -noupdate -divider OutMux
597
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_outmux/clk
598
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_outmux/rst
599
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_outmux/out_mux_ctrl
600
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_outmux/bs_ram_byte
601
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_outmux/bs_ram_wren
602
add wave -noupdate -format Literal -radix unsigned /jpeg_tb/u_jpegenc/u_outmux/bs_ram_wraddr
603
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_outmux/jfif_ram_byte
604
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_outmux/jfif_ram_wren
605
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_outmux/jfif_ram_wraddr
606
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_outmux/ram_byte
607
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_outmux/ram_wren
608
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_outmux/ram_wraddr
609
TreeUpdate [SetDefaultTree]
610 40 mikel262
WaveRestoreCursors {{Cursor 4} {39105000 ps} 0}
611 25 mikel262
configure wave -namecolwidth 150
612
configure wave -valuecolwidth 55
613
configure wave -justifyvalue left
614
configure wave -signalnamewidth 1
615
configure wave -snapdistance 10
616
configure wave -datasetprefix 0
617
configure wave -rowmargin 4
618
configure wave -childrowmargin 2
619
configure wave -gridoffset 0
620
configure wave -gridperiod 1
621
configure wave -griddelta 40
622
configure wave -timeline 0
623
configure wave -timelineunits ps
624
update
625 42 mikel262
WaveRestoreZoom {511965 ps} {1652957 ps}

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.