OpenCores
URL https://opencores.org/ocsvn/mkjpeg/mkjpeg/trunk

Subversion Repositories mkjpeg

[/] [mkjpeg/] [trunk/] [tb/] [wave.do] - Blame information for rev 32

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 25 mikel262
onerror {resume}
2
quietly virtual signal -install /jpeg_tb/u_jpegenc/u_fdct { /jpeg_tb/u_jpegenc/u_fdct/dbuf_waddr(5 downto 0)} wad
3
quietly virtual signal -install /jpeg_tb/u_jpegenc/u_zz_top/u_quantizer/r_divider { /jpeg_tb/u_jpegenc/u_zz_top/u_quantizer/r_divider/mult_out(27 downto 16)} mult_out_msb
4
quietly WaveActivateNextPane {} 0
5
add wave -noupdate -divider HostBFM
6
add wave -noupdate -format Logic /jpeg_tb/u_hostbfm/clk
7
add wave -noupdate -format Logic /jpeg_tb/u_hostbfm/rst
8
add wave -noupdate -format Literal /jpeg_tb/u_hostbfm/opb_abus
9
add wave -noupdate -format Literal /jpeg_tb/u_hostbfm/opb_be
10
add wave -noupdate -format Literal /jpeg_tb/u_hostbfm/opb_dbus_in
11
add wave -noupdate -format Logic /jpeg_tb/u_hostbfm/opb_rnw
12
add wave -noupdate -format Logic /jpeg_tb/u_hostbfm/opb_select
13
add wave -noupdate -format Literal /jpeg_tb/u_hostbfm/opb_dbus_out
14
add wave -noupdate -format Logic /jpeg_tb/u_hostbfm/opb_xferack
15
add wave -noupdate -format Logic /jpeg_tb/u_hostbfm/opb_retry
16
add wave -noupdate -format Logic /jpeg_tb/u_hostbfm/opb_toutsup
17
add wave -noupdate -format Logic /jpeg_tb/u_hostbfm/opb_errack
18
add wave -noupdate -format Literal /jpeg_tb/u_hostbfm/iram_wdata
19
add wave -noupdate -format Logic /jpeg_tb/u_hostbfm/iram_wren
20
add wave -noupdate -format Logic /jpeg_tb/u_hostbfm/fifo_almost_full
21
add wave -noupdate -format Logic /jpeg_tb/u_hostbfm/sim_done
22
add wave -noupdate -format Literal /jpeg_tb/u_hostbfm/num_comps
23
add wave -noupdate -format Literal -radix unsigned /jpeg_tb/u_hostbfm/addr_inc
24
add wave -noupdate -divider JpegEnc
25
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/clk
26
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/rst
27
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/opb_abus
28
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/opb_be
29
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/opb_dbus_in
30
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/opb_rnw
31
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/opb_select
32
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/opb_dbus_out
33
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/opb_xferack
34
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/opb_retry
35
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/opb_toutsup
36
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/opb_errack
37
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/iram_wdata
38
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/iram_wren
39
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/ram_byte
40
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/ram_wren
41
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/ram_wraddr
42
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/qdata
43
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/qaddr
44
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/qwren
45
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/jpeg_ready
46
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/jpeg_busy
47
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/outram_base_addr
48
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/num_enc_bytes
49
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/img_size_x
50
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/img_size_y
51
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/sof
52
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/jpg_iram_rden
53
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/jpg_iram_rdaddr
54
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/jpg_iram_rdata
55
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/fdct_start
56
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/fdct_ready
57
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/zig_start
58
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/zig_ready
59
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/rle_start
60
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/rle_ready
61
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/huf_start
62
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/huf_ready
63
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/bs_start
64
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/bs_ready
65
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/zz_buf_sel
66
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/zz_rd_addr
67
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/zz_data
68
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/rle_buf_sel
69
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/rle_rdaddr
70
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/rle_data
71
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/huf_buf_sel
72
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/huf_rdaddr
73
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/huf_rden
74
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/huf_runlength
75
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/huf_size
76
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/huf_amplitude
77
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/huf_dval
78
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/bs_buf_sel
79
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/bs_fifo_empty
80
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/bs_rd_req
81
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/bs_packed_byte
82
add wave -noupdate -divider CtrlSM
83
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_ctrlsm/clk
84
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_ctrlsm/rst
85
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_ctrlsm/sof
86
add wave -noupdate -format Literal -radix unsigned /jpeg_tb/u_jpegenc/u_ctrlsm/img_size_x
87
add wave -noupdate -format Literal -radix decimal /jpeg_tb/u_jpegenc/u_ctrlsm/img_size_y
88
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_ctrlsm/jpeg_ready
89
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_ctrlsm/jpeg_busy
90
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_ctrlsm/fdct_start
91
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_ctrlsm/fdct_ready
92
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_ctrlsm/zig_start
93
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_ctrlsm/zig_ready
94
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_ctrlsm/rle_start
95
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_ctrlsm/rle_ready
96
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_ctrlsm/huf_start
97
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_ctrlsm/huf_ready
98
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_ctrlsm/bs_start
99
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_ctrlsm/bs_ready
100
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/fdct_sm_settings
101
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/zig_sm_settings
102
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/rle_sm_settings
103
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/huf_sm_settings
104
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/bs_sm_settings
105
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_ctrlsm/main_state
106
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_ctrlsm/cmp_max
107
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_ctrlsm/reg
108
add wave -noupdate -format Literal -expand /jpeg_tb/u_jpegenc/u_ctrlsm/rsm
109
add wave -noupdate -format Literal -expand /jpeg_tb/u_jpegenc/u_ctrlsm/start
110
add wave -noupdate -format Literal -expand /jpeg_tb/u_jpegenc/u_ctrlsm/idle
111
add wave -noupdate -format Literal -expand /jpeg_tb/u_jpegenc/u_ctrlsm/start_pb
112
add wave -noupdate -format Literal -expand /jpeg_tb/u_jpegenc/u_ctrlsm/ready_pb
113
add wave -noupdate -format Literal -expand /jpeg_tb/u_jpegenc/u_ctrlsm/fsm
114
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_ctrlsm/start1_d
115
add wave -noupdate -divider BUF_FIFO
116
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_buf_fifo/clk
117
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_buf_fifo/rst
118
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_buf_fifo/img_size_x
119
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_buf_fifo/img_size_y
120
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_buf_fifo/sof
121
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_buf_fifo/iram_wren
122
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_buf_fifo/iram_wdata
123
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_buf_fifo/fifo_almost_full
124
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_buf_fifo/fdct_block_cnt
125
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_buf_fifo/fdct_fifo_rd
126
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_buf_fifo/fdct_fifo_empty
127
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_buf_fifo/fdct_fifo_q
128 28 mikel262
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_buf_fifo/fdct_fifo_hf_full
129 25 mikel262
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_buf_fifo/fifo_rd
130
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_buf_fifo/fifo_wr
131
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_buf_fifo/fifo_data
132
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_buf_fifo/fifo_data_d1
133
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_buf_fifo/fifo_full
134
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_buf_fifo/fifo_empty
135 28 mikel262
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_buf_fifo/fifo_half_full
136
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_buf_fifo/fifo_count
137
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_buf_fifo/pixel_cnt
138
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_buf_fifo/wblock_cnt
139 25 mikel262
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_buf_fifo/last_idx
140 28 mikel262
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_buf_fifo/idx_reg
141
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_buf_fifo/wr_idx_reg
142
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_buf_fifo/ramq
143
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_buf_fifo/ramenw
144
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_buf_fifo/ramd
145
add wave -noupdate -format Literal -radix unsigned /jpeg_tb/u_jpegenc/u_buf_fifo/ramwaddr
146
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_buf_fifo/ramwaddr_offset
147
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_buf_fifo/ramwaddr_base
148
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_buf_fifo/ramraddr
149
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_buf_fifo/ramraddr_base
150
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_buf_fifo/ramraddr_offset
151
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_buf_fifo/ramenr
152
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_buf_fifo/fifo_ramwaddr
153
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_buf_fifo/fifo_ramenw
154
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_buf_fifo/fifo_ramraddr
155
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_buf_fifo/fifo_ramenr
156
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_buf_fifo/offset_ramwaddr
157 25 mikel262
add wave -noupdate -divider FDCT
158
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/clk
159
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/rst
160
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/start_pb
161
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/ready_pb
162
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/writing_en
163
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/fdct_sm_settings
164
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/bf_block_cnt
165
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/bf_fifo_rd
166
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/bf_fifo_empty
167
add wave -noupdate -format Literal -radix hexadecimal /jpeg_tb/u_jpegenc/u_fdct/bf_fifo_q
168
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/bf_fifo_hf_full
169
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/start_int
170
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/fram1_data
171
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/fram1_q
172
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/fram1_we
173
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/fram1_waddr
174
add wave -noupdate -format Literal -radix unsigned /jpeg_tb/u_jpegenc/u_fdct/fram1_raddr
175
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/fram1_rd_d
176
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/fram1_rd
177
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/bf_fifo_empty_d1
178
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/rd_started
179
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/zz_buf_sel
180
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/zz_rd_addr
181
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/zz_data
182
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/zz_rden
183
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/img_size_x
184
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/img_size_y
185
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/sof
186
add wave -noupdate -format Literal -radix unsigned /jpeg_tb/u_jpegenc/u_fdct/mdct_data_in
187
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/mdct_idval
188
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/mdct_odval
189
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/mdct_data_out
190
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/odv1
191
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/dcto1
192
add wave -noupdate -format Literal -radix unsigned /jpeg_tb/u_jpegenc/u_fdct/x_block_cnt
193
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/y_block_cnt
194
add wave -noupdate -format Literal -radix unsigned /jpeg_tb/u_jpegenc/u_fdct/x_block_cnt_cur
195
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/y_block_cnt_cur
196
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/cmp_idx
197
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/cur_cmp_idx
198
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/rd_addr
199
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/input_rd_cnt
200
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/rd_en
201
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/rd_en_d1
202
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/rdaddr
203
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/bf_dval
204
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/wr_cnt
205
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/dbuf_data
206
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/dbuf_q
207
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/dbuf_we
208
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/dbuf_waddr
209
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/dbuf_raddr
210
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/xw_cnt
211
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/yw_cnt
212
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/dbuf_q_z1
213
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/sim_rd_addr
214
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/y_reg_1
215
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/y_reg_2
216
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/y_reg_3
217
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/cb_reg_1
218
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/cb_reg_2
219
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/cb_reg_3
220
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/cr_reg_1
221
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/cr_reg_2
222
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/cr_reg_3
223
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/y_reg
224
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/cb_reg
225
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/cr_reg
226
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/r_s
227
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/g_s
228
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/b_s
229
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/y_8bit
230
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/cb_8bit
231
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/cr_8bit
232
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/cur_cmp_idx_d1
233
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/cur_cmp_idx_d2
234
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/cur_cmp_idx_d3
235
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/cur_cmp_idx_d4
236
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/cur_cmp_idx_d5
237
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/cur_cmp_idx_d6
238
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/cur_cmp_idx_d7
239
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/cur_cmp_idx_d8
240
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/cur_cmp_idx_d9
241
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/fifo1_rd
242
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/fifo1_wr
243
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/fifo1_q
244
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/fifo1_full
245
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/fifo1_empty
246
add wave -noupdate -format Literal -radix unsigned /jpeg_tb/u_jpegenc/u_fdct/fifo1_count
247
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/fifo1_rd_cnt
248
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/fifo1_q_dval
249
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/fifo_data_in
250
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/fifo_rd_arm
251
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/eoi_fdct
252
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/bf_fifo_rd_s
253
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/wad
254
add wave -noupdate -divider mdct
255
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/u_mdct/clk
256
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/u_mdct/rst
257
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/u_mdct/dcti
258
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/u_mdct/idv
259
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/u_mdct/odv
260
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/u_mdct/dcto
261
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/u_mdct/odv1
262
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/u_mdct/dcto1
263
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/u_mdct/ramdatao_s
264
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/u_mdct/ramraddro_s
265
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/u_mdct/ramwaddro_s
266
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/u_mdct/ramdatai_s
267
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/u_mdct/ramwe_s
268
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/u_mdct/romedatao_s
269
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/u_mdct/romodatao_s
270
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/u_mdct/romeaddro_s
271
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/u_mdct/romoaddro_s
272
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/u_mdct/rome2datao_s
273
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/u_mdct/romo2datao_s
274
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/u_mdct/rome2addro_s
275
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/u_mdct/romo2addro_s
276
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/u_mdct/odv2_s
277
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/u_mdct/dcto2_s
278
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/u_mdct/trigger2_s
279
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/u_mdct/trigger1_s
280
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/u_mdct/ramdatao1_s
281
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/u_mdct/ramdatao2_s
282
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/u_mdct/ramwe1_s
283
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/u_mdct/ramwe2_s
284
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/u_mdct/memswitchrd_s
285
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/u_mdct/memswitchwr_s
286
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/u_mdct/wmemsel_s
287
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/u_mdct/rmemsel_s
288
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/u_mdct/dataready_s
289
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/u_mdct/datareadyack_s
290
add wave -noupdate -divider dct1d
291
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/u_mdct/u_dct1d/clk
292
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/u_mdct/u_dct1d/rst
293
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/u_mdct/u_dct1d/dcti
294
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/u_mdct/u_dct1d/idv
295
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/u_mdct/u_dct1d/romedatao
296
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/u_mdct/u_dct1d/romodatao
297
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/u_mdct/u_dct1d/odv
298
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/u_mdct/u_dct1d/dcto
299
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/u_mdct/u_dct1d/romeaddro
300
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/u_mdct/u_dct1d/romoaddro
301
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/u_mdct/u_dct1d/ramwaddro
302
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/u_mdct/u_dct1d/ramdatai
303
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/u_mdct/u_dct1d/ramwe
304
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/u_mdct/u_dct1d/wmemsel
305
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/u_mdct/u_dct1d/databuf_reg
306
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/u_mdct/u_dct1d/latchbuf_reg
307
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/u_mdct/u_dct1d/col_reg
308
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/u_mdct/u_dct1d/row_reg
309
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/u_mdct/u_dct1d/rowr_reg
310
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/u_mdct/u_dct1d/inpcnt_reg
311
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/u_mdct/u_dct1d/dcto_1
312
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/u_mdct/u_dct1d/dcto_2
313
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/u_mdct/u_dct1d/ramwe_s
314
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/u_mdct/u_dct1d/ramwe_d1
315
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/u_mdct/u_dct1d/ramwe_d2
316
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/u_mdct/u_dct1d/wmemsel_reg
317
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/u_mdct/u_dct1d/wmemsel_d1
318
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/u_mdct/u_dct1d/wmemsel_d2
319
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/u_mdct/u_dct1d/stage2_reg
320
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/u_mdct/u_dct1d/stage2_cnt_reg
321
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/u_mdct/u_dct1d/col_2_reg
322
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/u_mdct/u_dct1d/ramwaddro_s
323
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/u_mdct/u_dct1d/ramwaddro_d1
324
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/u_mdct/u_dct1d/ramwaddro_d2
325
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/u_mdct/u_dct1d/even_not_odd
326
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/u_mdct/u_dct1d/even_not_odd_d1
327
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/u_mdct/u_dct1d/romedatao_d1
328
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/u_mdct/u_dct1d/romodatao_d1
329
add wave -noupdate -divider dct2d
330
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/u_mdct/u_dct2d/clk
331
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/u_mdct/u_dct2d/rst
332
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/u_mdct/u_dct2d/ramdatao
333
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/u_mdct/u_dct2d/dataready
334
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/u_mdct/u_dct2d/odv
335
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/u_mdct/u_dct2d/dcto
336
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/u_mdct/u_dct2d/ramraddro
337
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/u_mdct/u_dct2d/rmemsel
338
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/u_mdct/u_dct2d/datareadyack
339
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/u_mdct/u_dct2d/databuf_reg
340
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/u_mdct/u_dct2d/latchbuf_reg
341
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/u_mdct/u_dct2d/col_reg
342
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/u_mdct/u_dct2d/row_reg
343
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/u_mdct/u_dct2d/colram_reg
344
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/u_mdct/u_dct2d/rowram_reg
345
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/u_mdct/u_dct2d/colr_reg
346
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/u_mdct/u_dct2d/rowr_reg
347
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/u_mdct/u_dct2d/rmemsel_reg
348
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/u_mdct/u_dct2d/stage1_reg
349
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/u_mdct/u_dct2d/stage2_reg
350
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/u_mdct/u_dct2d/stage2_cnt_reg
351
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/u_mdct/u_dct2d/dataready_2_reg
352
add wave -noupdate -divider ZZ_TOP
353
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_zz_top/clk
354
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_zz_top/rst
355
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_zz_top/start_pb
356
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_zz_top/ready_pb
357
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/zig_sm_settings
358
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_zz_top/rle_buf_sel
359
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_zz_top/rle_rdaddr
360
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_zz_top/rle_data
361
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_zz_top/fdct_buf_sel
362
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_zz_top/fdct_rd_addr
363
add wave -noupdate -format Literal -radix decimal /jpeg_tb/u_jpegenc/u_zz_top/fdct_data
364
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_zz_top/qdata
365
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_zz_top/qaddr
366
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_zz_top/qwren
367
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_zz_top/dbuf_data
368
add wave -noupdate -format Literal -radix decimal /jpeg_tb/u_jpegenc/u_zz_top/dbuf_q
369
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_zz_top/dbuf_we
370
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_zz_top/dbuf_waddr
371
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_zz_top/dbuf_raddr
372
add wave -noupdate -format Literal -radix decimal /jpeg_tb/u_jpegenc/u_zz_top/zigzag_di
373
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_zz_top/zigzag_divalid
374
add wave -noupdate -format Literal -radix decimal /jpeg_tb/u_jpegenc/u_zz_top/zigzag_dout
375
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_zz_top/zigzag_dovalid
376
add wave -noupdate -format Literal -radix decimal /jpeg_tb/u_jpegenc/u_zz_top/quant_dout
377
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_zz_top/quant_dovalid
378
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_zz_top/wr_cnt
379
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_zz_top/rd_cnt
380
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_zz_top/rd_en_d
381
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_zz_top/rd_en
382
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_zz_top/fdct_buf_sel_s
383
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_zz_top/u_zigzag/rst
384
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_zz_top/u_zigzag/clk
385
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_zz_top/u_zigzag/di
386
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_zz_top/u_zigzag/divalid
387
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_zz_top/u_zigzag/rd_addr
388
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_zz_top/u_zigzag/fifo_rden
389
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_zz_top/u_zigzag/fifo_empty
390
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_zz_top/u_zigzag/dout
391
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_zz_top/u_zigzag/dovalid
392
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_zz_top/u_zigzag/zz_rd_addr
393
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_zz_top/u_zigzag/fifo_wr
394
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_zz_top/u_zigzag/fifo_q
395
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_zz_top/u_zigzag/fifo_full
396
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_zz_top/u_zigzag/fifo_count
397
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_zz_top/u_zigzag/fifo_data_in
398
add wave -noupdate -divider {zigzag core}
399
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_zz_top/u_zigzag/rst
400
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_zz_top/u_zigzag/clk
401
add wave -noupdate -format Literal -radix decimal /jpeg_tb/u_jpegenc/u_zz_top/u_zigzag/di
402
add wave -noupdate -format Logic -radix decimal /jpeg_tb/u_jpegenc/u_zz_top/u_zigzag/divalid
403
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_zz_top/u_zigzag/rd_addr
404
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_zz_top/u_zigzag/fifo_rden
405
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_zz_top/u_zigzag/fifo_empty
406
add wave -noupdate -format Literal -radix decimal /jpeg_tb/u_jpegenc/u_zz_top/u_zigzag/dout
407
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_zz_top/u_zigzag/dovalid
408
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_zz_top/u_zigzag/zz_rd_addr
409
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_zz_top/u_zigzag/fifo_wr
410
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_zz_top/u_zigzag/fifo_q
411
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_zz_top/u_zigzag/fifo_full
412
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_zz_top/u_zigzag/fifo_count
413
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_zz_top/u_zigzag/fifo_data_in
414
add wave -noupdate -divider quantizer
415
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_zz_top/u_quantizer/rst
416
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_zz_top/u_quantizer/clk
417 32 mikel262
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_zz_top/u_quantizer/di
418 25 mikel262
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_zz_top/u_quantizer/divalid
419 32 mikel262
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_zz_top/u_quantizer/qdata
420
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_zz_top/u_quantizer/qwaddr
421
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_zz_top/u_quantizer/qwren
422
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_zz_top/u_quantizer/cmp_idx
423
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_zz_top/u_quantizer/do
424 25 mikel262
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_zz_top/u_quantizer/dovalid
425
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_zz_top/u_quantizer/romaddr_s
426
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_zz_top/u_quantizer/slv_romaddr_s
427
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_zz_top/u_quantizer/romdatao_s
428 32 mikel262
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_zz_top/u_quantizer/divisor_s
429 25 mikel262
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_zz_top/u_quantizer/remainder_s
430 32 mikel262
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_zz_top/u_quantizer/do_s
431 25 mikel262
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_zz_top/u_quantizer/round_s
432 32 mikel262
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_zz_top/u_quantizer/di_d1
433
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_zz_top/u_quantizer/pipeline_reg
434 25 mikel262
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_zz_top/u_quantizer/sign_bit_pipe
435 32 mikel262
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_zz_top/u_quantizer/do_rdiv
436
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_zz_top/u_quantizer/table_select
437 25 mikel262
add wave -noupdate -divider r_divider
438
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_zz_top/u_quantizer/r_divider/rst
439
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_zz_top/u_quantizer/r_divider/clk
440
add wave -noupdate -format Literal -radix decimal /jpeg_tb/u_jpegenc/u_zz_top/u_quantizer/r_divider/a
441
add wave -noupdate -format Literal -radix unsigned /jpeg_tb/u_jpegenc/u_zz_top/u_quantizer/r_divider/d
442
add wave -noupdate -format Literal -radix decimal /jpeg_tb/u_jpegenc/u_zz_top/u_quantizer/r_divider/q
443
add wave -noupdate -format Literal -radix unsigned /jpeg_tb/u_jpegenc/u_zz_top/u_quantizer/r_divider/romr_addr
444
add wave -noupdate -format Literal -radix unsigned /jpeg_tb/u_jpegenc/u_zz_top/u_quantizer/r_divider/romr_datao
445
add wave -noupdate -format Literal -radix decimal /jpeg_tb/u_jpegenc/u_zz_top/u_quantizer/r_divider/dividend
446
add wave -noupdate -format Literal -radix unsigned /jpeg_tb/u_jpegenc/u_zz_top/u_quantizer/r_divider/dividend_d1
447
add wave -noupdate -format Literal -radix unsigned /jpeg_tb/u_jpegenc/u_zz_top/u_quantizer/r_divider/reciprocal
448
add wave -noupdate -format Literal -radix decimal /jpeg_tb/u_jpegenc/u_zz_top/u_quantizer/r_divider/mult_out_msb
449
add wave -noupdate -format Literal -radix unsigned /jpeg_tb/u_jpegenc/u_zz_top/u_quantizer/r_divider/mult_out
450
add wave -noupdate -format Literal -radix decimal /jpeg_tb/u_jpegenc/u_zz_top/u_quantizer/r_divider/mult_out_s
451
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_zz_top/u_quantizer/r_divider/signbit
452
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_zz_top/u_quantizer/r_divider/signbit_d1
453
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_zz_top/u_quantizer/r_divider/signbit_d2
454
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_zz_top/u_quantizer/r_divider/signbit_d3
455
add wave -noupdate -divider RLE_TOP
456
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/clk
457
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/rst
458
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/start_pb
459
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/ready_pb
460
add wave -noupdate -format Literal -expand /jpeg_tb/u_jpegenc/rle_sm_settings
461
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/huf_buf_sel
462
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/huf_rden
463
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_rle_top/huf_runlength
464
add wave -noupdate -format Literal -radix unsigned /jpeg_tb/u_jpegenc/u_rle_top/huf_size
465
add wave -noupdate -format Literal -radix unsigned /jpeg_tb/u_jpegenc/u_rle_top/huf_amplitude
466
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/huf_dval
467
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/huf_fifo_empty
468
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/zig_buf_sel
469
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_rle_top/zig_rd_addr
470
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_rle_top/zig_data
471
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_rle_top/dbuf_data
472
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_rle_top/dbuf_q
473
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/dbuf_we
474
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_rle_top/rd_cnt
475
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_rle_top/rd_en_d
476
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/rd_en
477
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_rle_top/rle_runlength
478
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_rle_top/rle_size
479
add wave -noupdate -format Literal -radix decimal /jpeg_tb/u_jpegenc/u_rle_top/rle_amplitude
480
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/rle_dovalid
481
add wave -noupdate -format Literal -radix decimal /jpeg_tb/u_jpegenc/u_rle_top/rle_di
482
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/rle_divalid
483
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/zig_buf_sel_s
484
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/huf_dval_p0
485
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/clk
486
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/rst
487
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/data_in
488
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/wren
489
add wave -noupdate -format Literal -radix unsigned /jpeg_tb/u_jpegenc/u_rle_top/wr_cnt
490
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/buf_sel
491
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/rd_req
492
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/fifo_empty
493
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/data_out
494
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/fifo1_rd
495
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/fifo1_wr
496
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/fifo1_q
497
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/fifo1_full
498
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/fifo1_empty
499
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/fifo1_count
500
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/fifo2_rd
501
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/fifo2_wr
502
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/fifo2_q
503
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/fifo2_full
504
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/fifo2_empty
505
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/fifo2_count
506
add wave -noupdate -divider rle_core
507
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rle/rst
508
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rle/clk
509
add wave -noupdate -format Literal -radix decimal /jpeg_tb/u_jpegenc/u_rle_top/u_rle/di
510
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rle/divalid
511
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_rle_top/u_rle/wr_cnt
512
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rle/start_pb
513
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rle/sof
514
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_rle_top/u_rle/acc_reg
515
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_rle_top/u_rle/size_reg
516
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_rle_top/u_rle/ampli_vli_reg
517
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_rle_top/u_rle/runlength_reg
518
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rle/dovalid_reg
519
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_rle_top/u_rle/zero_cnt
520
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_rle_top/u_rle/wr_cnt_d1
521
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rle/dovalid
522
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_rle_top/u_rle/runlength
523
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_rle_top/u_rle/size
524
add wave -noupdate -format Literal -radix decimal /jpeg_tb/u_jpegenc/u_rle_top/u_rle/amplitude
525
add wave -noupdate -divider HUFFMAN
526
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_huffman/clk
527
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_huffman/rst
528
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_huffman/start_pb
529
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_huffman/ready_pb
530
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/huf_sm_settings
531
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_huffman/sof
532
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_huffman/runlength
533
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_huffman/vli_size
534
add wave -noupdate -format Literal -radix decimal /jpeg_tb/u_jpegenc/u_huffman/vli
535
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_huffman/img_size_x
536
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_huffman/img_size_y
537
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_huffman/rle_buf_sel
538
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_huffman/rle_fifo_empty
539
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_huffman/state
540
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_huffman/rle_buf_sel_s
541
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_huffman/first_rle_word
542
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_huffman/word_reg
543
add wave -noupdate -format Literal -radix unsigned /jpeg_tb/u_jpegenc/u_huffman/bit_ptr
544
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_huffman/num_fifo_wrs
545
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_huffman/fifo_wbyte
546
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_huffman/fifo_wrt_cnt
547
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_huffman/fifo_wren
548
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_huffman/last_block
549
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_huffman/image_area_size
550
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_huffman/block_cnt
551
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_huffman/rd_en
552
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_huffman/d_val
553
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_huffman/vli_size_d
554
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_huffman/vli_d
555
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_huffman/vlc_size
556
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_huffman/vlc
557
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_huffman/vli_ext
558
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_huffman/vli_ext_size
559
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_huffman/vlc_dc_size
560
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_huffman/vlc_dc
561
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_huffman/vlc_ac_size
562
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_huffman/vlc_ac
563
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_huffman/d_val_d1
564
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_huffman/d_val_d2
565
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_huffman/d_val_d3
566
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_huffman/ready_hfw
567
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_huffman/hfw_running
568
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_huffman/vli_size_r
569
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_huffman/vli_r
570
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_huffman/bs_buf_sel
571
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_huffman/bs_fifo_empty
572
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_huffman/bs_rd_req
573
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_huffman/bs_packed_byte
574
add wave -noupdate -divider DoubleFIFO
575
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_huffman/u_doublefifo/clk
576
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_huffman/u_doublefifo/rst
577
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_huffman/u_doublefifo/data_in
578
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_huffman/u_doublefifo/wren
579
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_huffman/u_doublefifo/buf_sel
580
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_huffman/u_doublefifo/rd_req
581
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_huffman/u_doublefifo/fifo_empty
582
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_huffman/u_doublefifo/data_out
583
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_huffman/u_doublefifo/fifo1_rd
584
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_huffman/u_doublefifo/fifo1_wr
585
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_huffman/u_doublefifo/fifo1_q
586
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_huffman/u_doublefifo/fifo1_full
587
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_huffman/u_doublefifo/fifo1_empty
588
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_huffman/u_doublefifo/fifo1_count
589
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_huffman/u_doublefifo/fifo2_rd
590
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_huffman/u_doublefifo/fifo2_wr
591
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_huffman/u_doublefifo/fifo2_q
592
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_huffman/u_doublefifo/fifo2_full
593
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_huffman/u_doublefifo/fifo2_empty
594
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_huffman/u_doublefifo/fifo2_count
595
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_huffman/u_doublefifo/fifo_data_in
596
add wave -noupdate -divider BYTE_STUFFER
597
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_bytestuffer/clk
598
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_bytestuffer/rst
599
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_bytestuffer/start_pb
600
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_bytestuffer/ready_pb
601
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/bs_sm_settings
602
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_bytestuffer/sof
603
add wave -noupdate -format Literal -radix unsigned /jpeg_tb/u_jpegenc/u_bytestuffer/num_enc_bytes
604
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_bytestuffer/outram_base_addr
605
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_bytestuffer/huf_buf_sel
606
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_bytestuffer/huf_fifo_empty
607
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_bytestuffer/huf_rd_req
608
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_bytestuffer/huf_packed_byte
609
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_bytestuffer/latch_byte
610
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_bytestuffer/data_valid
611
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_bytestuffer/wait_for_ndata
612
add wave -noupdate -format Literal -expand /jpeg_tb/u_jpegenc/u_bytestuffer/huf_data_val
613
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_bytestuffer/wdata_reg
614
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_bytestuffer/wraddr
615
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_bytestuffer/wr_n_cnt
616
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_bytestuffer/huf_buf_sel_s
617
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_bytestuffer/rd_en
618
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_bytestuffer/huf_rd_req_s
619
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_bytestuffer/ram_wren
620
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_bytestuffer/ram_wraddr
621
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_bytestuffer/ram_byte
622
add wave -noupdate -format Logic /jpeg_tb/sim_done
623
add wave -noupdate -divider JFIFGen
624
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_jfifgen/clk
625
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_jfifgen/rst
626
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_jfifgen/start
627
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_jfifgen/ready
628
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_jfifgen/eoi
629
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_jfifgen/qwren
630
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_jfifgen/qwaddr
631
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_jfifgen/qwdata
632
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_jfifgen/image_size_reg
633
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_jfifgen/image_size_reg_wr
634
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_jfifgen/ram_byte
635
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_jfifgen/ram_wren
636
add wave -noupdate -format Literal -radix unsigned /jpeg_tb/u_jpegenc/u_jfifgen/ram_wraddr
637
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_jfifgen/hr_data
638
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_jfifgen/hr_waddr
639
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_jfifgen/hr_raddr
640
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_jfifgen/hr_we
641
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_jfifgen/hr_q
642
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_jfifgen/size_wr_cnt
643
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_jfifgen/size_wr
644
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_jfifgen/rd_cnt
645
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_jfifgen/rd_en
646
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_jfifgen/rd_en_d1
647
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_jfifgen/rd_cnt_d1
648
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_jfifgen/rd_cnt_d2
649
add wave -noupdate -divider OutMux
650
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_outmux/clk
651
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_outmux/rst
652
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_outmux/out_mux_ctrl
653
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_outmux/bs_ram_byte
654
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_outmux/bs_ram_wren
655
add wave -noupdate -format Literal -radix unsigned /jpeg_tb/u_jpegenc/u_outmux/bs_ram_wraddr
656
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_outmux/jfif_ram_byte
657
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_outmux/jfif_ram_wren
658
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_outmux/jfif_ram_wraddr
659
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_outmux/ram_byte
660
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_outmux/ram_wren
661
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_outmux/ram_wraddr
662
TreeUpdate [SetDefaultTree]
663 32 mikel262
WaveRestoreCursors {{Cursor 4} {45000815232 ps} 0}
664 25 mikel262
configure wave -namecolwidth 150
665
configure wave -valuecolwidth 55
666
configure wave -justifyvalue left
667
configure wave -signalnamewidth 1
668
configure wave -snapdistance 10
669
configure wave -datasetprefix 0
670
configure wave -rowmargin 4
671
configure wave -childrowmargin 2
672
configure wave -gridoffset 0
673
configure wave -gridperiod 1
674
configure wave -griddelta 40
675
configure wave -timeline 0
676
configure wave -timelineunits ps
677
update
678 32 mikel262
WaveRestoreZoom {45000756225 ps} {45001012831 ps}

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.