OpenCores
URL https://opencores.org/ocsvn/mkjpeg/mkjpeg/trunk

Subversion Repositories mkjpeg

[/] [mkjpeg/] [trunk/] [tb/] [wave.do] - Blame information for rev 25

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 25 mikel262
onerror {resume}
2
quietly virtual signal -install /jpeg_tb/u_jpegenc/u_fdct { /jpeg_tb/u_jpegenc/u_fdct/dbuf_waddr(5 downto 0)} wad
3
quietly virtual signal -install /jpeg_tb/u_jpegenc/u_zz_top/u_quantizer/r_divider { /jpeg_tb/u_jpegenc/u_zz_top/u_quantizer/r_divider/mult_out(27 downto 16)} mult_out_msb
4
quietly WaveActivateNextPane {} 0
5
add wave -noupdate -divider HostBFM
6
add wave -noupdate -format Logic /jpeg_tb/u_hostbfm/clk
7
add wave -noupdate -format Logic /jpeg_tb/u_hostbfm/rst
8
add wave -noupdate -format Literal /jpeg_tb/u_hostbfm/opb_abus
9
add wave -noupdate -format Literal /jpeg_tb/u_hostbfm/opb_be
10
add wave -noupdate -format Literal /jpeg_tb/u_hostbfm/opb_dbus_in
11
add wave -noupdate -format Logic /jpeg_tb/u_hostbfm/opb_rnw
12
add wave -noupdate -format Logic /jpeg_tb/u_hostbfm/opb_select
13
add wave -noupdate -format Literal /jpeg_tb/u_hostbfm/opb_dbus_out
14
add wave -noupdate -format Logic /jpeg_tb/u_hostbfm/opb_xferack
15
add wave -noupdate -format Logic /jpeg_tb/u_hostbfm/opb_retry
16
add wave -noupdate -format Logic /jpeg_tb/u_hostbfm/opb_toutsup
17
add wave -noupdate -format Logic /jpeg_tb/u_hostbfm/opb_errack
18
add wave -noupdate -format Literal /jpeg_tb/u_hostbfm/iram_wdata
19
add wave -noupdate -format Logic /jpeg_tb/u_hostbfm/iram_wren
20
add wave -noupdate -format Logic /jpeg_tb/u_hostbfm/fifo_almost_full
21
add wave -noupdate -format Logic /jpeg_tb/u_hostbfm/sim_done
22
add wave -noupdate -format Literal /jpeg_tb/u_hostbfm/num_comps
23
add wave -noupdate -format Literal -radix unsigned /jpeg_tb/u_hostbfm/addr_inc
24
add wave -noupdate -divider JpegEnc
25
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/clk
26
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/rst
27
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/opb_abus
28
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/opb_be
29
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/opb_dbus_in
30
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/opb_rnw
31
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/opb_select
32
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/opb_dbus_out
33
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/opb_xferack
34
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/opb_retry
35
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/opb_toutsup
36
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/opb_errack
37
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/iram_wdata
38
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/iram_wren
39
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/ram_byte
40
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/ram_wren
41
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/ram_wraddr
42
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/qdata
43
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/qaddr
44
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/qwren
45
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/jpeg_ready
46
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/jpeg_busy
47
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/outram_base_addr
48
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/num_enc_bytes
49
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/img_size_x
50
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/img_size_y
51
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/sof
52
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/jpg_iram_rden
53
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/jpg_iram_rdaddr
54
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/jpg_iram_rdata
55
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/fdct_start
56
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/fdct_ready
57
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/zig_start
58
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/zig_ready
59
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/rle_start
60
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/rle_ready
61
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/huf_start
62
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/huf_ready
63
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/bs_start
64
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/bs_ready
65
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/zz_buf_sel
66
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/zz_rd_addr
67
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/zz_data
68
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/rle_buf_sel
69
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/rle_rdaddr
70
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/rle_data
71
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/huf_buf_sel
72
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/huf_rdaddr
73
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/huf_rden
74
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/huf_runlength
75
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/huf_size
76
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/huf_amplitude
77
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/huf_dval
78
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/bs_buf_sel
79
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/bs_fifo_empty
80
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/bs_rd_req
81
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/bs_packed_byte
82
add wave -noupdate -divider CtrlSM
83
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_ctrlsm/clk
84
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_ctrlsm/rst
85
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_ctrlsm/sof
86
add wave -noupdate -format Literal -radix unsigned /jpeg_tb/u_jpegenc/u_ctrlsm/img_size_x
87
add wave -noupdate -format Literal -radix decimal /jpeg_tb/u_jpegenc/u_ctrlsm/img_size_y
88
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_ctrlsm/jpeg_ready
89
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_ctrlsm/jpeg_busy
90
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_ctrlsm/fdct_start
91
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_ctrlsm/fdct_ready
92
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_ctrlsm/zig_start
93
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_ctrlsm/zig_ready
94
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_ctrlsm/rle_start
95
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_ctrlsm/rle_ready
96
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_ctrlsm/huf_start
97
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_ctrlsm/huf_ready
98
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_ctrlsm/bs_start
99
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_ctrlsm/bs_ready
100
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/fdct_sm_settings
101
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/zig_sm_settings
102
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/rle_sm_settings
103
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/huf_sm_settings
104
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/bs_sm_settings
105
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_ctrlsm/main_state
106
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_ctrlsm/cmp_max
107
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_ctrlsm/reg
108
add wave -noupdate -format Literal -expand /jpeg_tb/u_jpegenc/u_ctrlsm/rsm
109
add wave -noupdate -format Literal -expand /jpeg_tb/u_jpegenc/u_ctrlsm/start
110
add wave -noupdate -format Literal -expand /jpeg_tb/u_jpegenc/u_ctrlsm/idle
111
add wave -noupdate -format Literal -expand /jpeg_tb/u_jpegenc/u_ctrlsm/start_pb
112
add wave -noupdate -format Literal -expand /jpeg_tb/u_jpegenc/u_ctrlsm/ready_pb
113
add wave -noupdate -format Literal -expand /jpeg_tb/u_jpegenc/u_ctrlsm/fsm
114
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_ctrlsm/start1_d
115
add wave -noupdate -divider BUF_FIFO
116
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_buf_fifo/clk
117
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_buf_fifo/rst
118
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_buf_fifo/img_size_x
119
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_buf_fifo/img_size_y
120
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_buf_fifo/sof
121
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_buf_fifo/iram_wren
122
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_buf_fifo/iram_wdata
123
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_buf_fifo/fifo_almost_full
124
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_buf_fifo/fdct_block_cnt
125
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_buf_fifo/fdct_fifo_rd
126
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_buf_fifo/fdct_fifo_empty
127
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_buf_fifo/fdct_fifo_q
128
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_buf_fifo/fifo_rd
129
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_buf_fifo/fifo_wr
130
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_buf_fifo/fifo_data
131
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_buf_fifo/fifo_data_d1
132
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_buf_fifo/fifo_q
133
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_buf_fifo/fifo_full
134
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_buf_fifo/fifo_empty
135
add wave -noupdate -format Literal -radix unsigned /jpeg_tb/u_jpegenc/u_buf_fifo/fifo_count
136
add wave -noupdate -format Literal -radix unsigned /jpeg_tb/u_jpegenc/u_buf_fifo/pixel_cnt
137
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_buf_fifo/last_idx
138
add wave -noupdate -format Literal -radix unsigned /jpeg_tb/u_jpegenc/u_buf_fifo/wblock_cnt
139
add wave -noupdate -divider FDCT
140
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/clk
141
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/rst
142
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/start_pb
143
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/ready_pb
144
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/writing_en
145
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/fdct_sm_settings
146
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/bf_block_cnt
147
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/bf_fifo_rd
148
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/bf_fifo_empty
149
add wave -noupdate -format Literal -radix hexadecimal /jpeg_tb/u_jpegenc/u_fdct/bf_fifo_q
150
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/bf_fifo_hf_full
151
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/start_int
152
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/fram1_data
153
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/fram1_q
154
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/fram1_we
155
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/fram1_waddr
156
add wave -noupdate -format Literal -radix unsigned /jpeg_tb/u_jpegenc/u_fdct/fram1_raddr
157
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/fram1_rd_d
158
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/fram1_rd
159
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/bf_fifo_empty_d1
160
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/rd_started
161
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/zz_buf_sel
162
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/zz_rd_addr
163
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/zz_data
164
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/zz_rden
165
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/img_size_x
166
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/img_size_y
167
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/sof
168
add wave -noupdate -format Literal -radix unsigned /jpeg_tb/u_jpegenc/u_fdct/mdct_data_in
169
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/mdct_idval
170
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/mdct_odval
171
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/mdct_data_out
172
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/odv1
173
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/dcto1
174
add wave -noupdate -format Literal -radix unsigned /jpeg_tb/u_jpegenc/u_fdct/x_block_cnt
175
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/y_block_cnt
176
add wave -noupdate -format Literal -radix unsigned /jpeg_tb/u_jpegenc/u_fdct/x_block_cnt_cur
177
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/y_block_cnt_cur
178
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/cmp_idx
179
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/cur_cmp_idx
180
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/rd_addr
181
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/input_rd_cnt
182
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/rd_en
183
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/rd_en_d1
184
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/rdaddr
185
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/bf_dval
186
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/wr_cnt
187
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/dbuf_data
188
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/dbuf_q
189
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/dbuf_we
190
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/dbuf_waddr
191
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/dbuf_raddr
192
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/xw_cnt
193
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/yw_cnt
194
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/dbuf_q_z1
195
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/sim_rd_addr
196
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/y_reg_1
197
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/y_reg_2
198
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/y_reg_3
199
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/cb_reg_1
200
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/cb_reg_2
201
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/cb_reg_3
202
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/cr_reg_1
203
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/cr_reg_2
204
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/cr_reg_3
205
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/y_reg
206
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/cb_reg
207
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/cr_reg
208
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/r_s
209
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/g_s
210
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/b_s
211
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/y_8bit
212
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/cb_8bit
213
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/cr_8bit
214
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/cur_cmp_idx_d1
215
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/cur_cmp_idx_d2
216
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/cur_cmp_idx_d3
217
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/cur_cmp_idx_d4
218
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/cur_cmp_idx_d5
219
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/cur_cmp_idx_d6
220
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/cur_cmp_idx_d7
221
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/cur_cmp_idx_d8
222
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/cur_cmp_idx_d9
223
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/fifo1_rd
224
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/fifo1_wr
225
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/fifo1_q
226
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/fifo1_full
227
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/fifo1_empty
228
add wave -noupdate -format Literal -radix unsigned /jpeg_tb/u_jpegenc/u_fdct/fifo1_count
229
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/fifo1_rd_cnt
230
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/fifo1_q_dval
231
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/fifo_data_in
232
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/fifo_rd_arm
233
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/eoi_fdct
234
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/bf_fifo_rd_s
235
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/wad
236
add wave -noupdate -divider mdct
237
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/u_mdct/clk
238
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/u_mdct/rst
239
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/u_mdct/dcti
240
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/u_mdct/idv
241
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/u_mdct/odv
242
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/u_mdct/dcto
243
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/u_mdct/odv1
244
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/u_mdct/dcto1
245
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/u_mdct/ramdatao_s
246
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/u_mdct/ramraddro_s
247
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/u_mdct/ramwaddro_s
248
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/u_mdct/ramdatai_s
249
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/u_mdct/ramwe_s
250
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/u_mdct/romedatao_s
251
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/u_mdct/romodatao_s
252
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/u_mdct/romeaddro_s
253
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/u_mdct/romoaddro_s
254
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/u_mdct/rome2datao_s
255
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/u_mdct/romo2datao_s
256
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/u_mdct/rome2addro_s
257
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/u_mdct/romo2addro_s
258
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/u_mdct/odv2_s
259
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/u_mdct/dcto2_s
260
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/u_mdct/trigger2_s
261
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/u_mdct/trigger1_s
262
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/u_mdct/ramdatao1_s
263
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/u_mdct/ramdatao2_s
264
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/u_mdct/ramwe1_s
265
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/u_mdct/ramwe2_s
266
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/u_mdct/memswitchrd_s
267
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/u_mdct/memswitchwr_s
268
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/u_mdct/wmemsel_s
269
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/u_mdct/rmemsel_s
270
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/u_mdct/dataready_s
271
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/u_mdct/datareadyack_s
272
add wave -noupdate -divider dct1d
273
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/u_mdct/u_dct1d/clk
274
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/u_mdct/u_dct1d/rst
275
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/u_mdct/u_dct1d/dcti
276
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/u_mdct/u_dct1d/idv
277
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/u_mdct/u_dct1d/romedatao
278
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/u_mdct/u_dct1d/romodatao
279
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/u_mdct/u_dct1d/odv
280
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/u_mdct/u_dct1d/dcto
281
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/u_mdct/u_dct1d/romeaddro
282
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/u_mdct/u_dct1d/romoaddro
283
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/u_mdct/u_dct1d/ramwaddro
284
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/u_mdct/u_dct1d/ramdatai
285
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/u_mdct/u_dct1d/ramwe
286
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/u_mdct/u_dct1d/wmemsel
287
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/u_mdct/u_dct1d/databuf_reg
288
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/u_mdct/u_dct1d/latchbuf_reg
289
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/u_mdct/u_dct1d/col_reg
290
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/u_mdct/u_dct1d/row_reg
291
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/u_mdct/u_dct1d/rowr_reg
292
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/u_mdct/u_dct1d/inpcnt_reg
293
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/u_mdct/u_dct1d/dcto_1
294
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/u_mdct/u_dct1d/dcto_2
295
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/u_mdct/u_dct1d/ramwe_s
296
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/u_mdct/u_dct1d/ramwe_d1
297
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/u_mdct/u_dct1d/ramwe_d2
298
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/u_mdct/u_dct1d/wmemsel_reg
299
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/u_mdct/u_dct1d/wmemsel_d1
300
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/u_mdct/u_dct1d/wmemsel_d2
301
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/u_mdct/u_dct1d/stage2_reg
302
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/u_mdct/u_dct1d/stage2_cnt_reg
303
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/u_mdct/u_dct1d/col_2_reg
304
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/u_mdct/u_dct1d/ramwaddro_s
305
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/u_mdct/u_dct1d/ramwaddro_d1
306
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/u_mdct/u_dct1d/ramwaddro_d2
307
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/u_mdct/u_dct1d/even_not_odd
308
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/u_mdct/u_dct1d/even_not_odd_d1
309
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/u_mdct/u_dct1d/romedatao_d1
310
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/u_mdct/u_dct1d/romodatao_d1
311
add wave -noupdate -divider dct2d
312
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/u_mdct/u_dct2d/clk
313
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/u_mdct/u_dct2d/rst
314
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/u_mdct/u_dct2d/ramdatao
315
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/u_mdct/u_dct2d/dataready
316
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/u_mdct/u_dct2d/odv
317
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/u_mdct/u_dct2d/dcto
318
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/u_mdct/u_dct2d/ramraddro
319
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/u_mdct/u_dct2d/rmemsel
320
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/u_mdct/u_dct2d/datareadyack
321
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/u_mdct/u_dct2d/databuf_reg
322
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/u_mdct/u_dct2d/latchbuf_reg
323
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/u_mdct/u_dct2d/col_reg
324
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/u_mdct/u_dct2d/row_reg
325
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/u_mdct/u_dct2d/colram_reg
326
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/u_mdct/u_dct2d/rowram_reg
327
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/u_mdct/u_dct2d/colr_reg
328
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/u_mdct/u_dct2d/rowr_reg
329
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/u_mdct/u_dct2d/rmemsel_reg
330
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/u_mdct/u_dct2d/stage1_reg
331
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/u_mdct/u_dct2d/stage2_reg
332
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/u_mdct/u_dct2d/stage2_cnt_reg
333
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/u_mdct/u_dct2d/dataready_2_reg
334
add wave -noupdate -divider ZZ_TOP
335
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_zz_top/clk
336
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_zz_top/rst
337
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_zz_top/start_pb
338
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_zz_top/ready_pb
339
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/zig_sm_settings
340
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_zz_top/rle_buf_sel
341
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_zz_top/rle_rdaddr
342
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_zz_top/rle_data
343
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_zz_top/fdct_buf_sel
344
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_zz_top/fdct_rd_addr
345
add wave -noupdate -format Literal -radix decimal /jpeg_tb/u_jpegenc/u_zz_top/fdct_data
346
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_zz_top/qdata
347
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_zz_top/qaddr
348
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_zz_top/qwren
349
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_zz_top/dbuf_data
350
add wave -noupdate -format Literal -radix decimal /jpeg_tb/u_jpegenc/u_zz_top/dbuf_q
351
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_zz_top/dbuf_we
352
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_zz_top/dbuf_waddr
353
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_zz_top/dbuf_raddr
354
add wave -noupdate -format Literal -radix decimal /jpeg_tb/u_jpegenc/u_zz_top/zigzag_di
355
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_zz_top/zigzag_divalid
356
add wave -noupdate -format Literal -radix decimal /jpeg_tb/u_jpegenc/u_zz_top/zigzag_dout
357
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_zz_top/zigzag_dovalid
358
add wave -noupdate -format Literal -radix decimal /jpeg_tb/u_jpegenc/u_zz_top/quant_dout
359
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_zz_top/quant_dovalid
360
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_zz_top/wr_cnt
361
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_zz_top/rd_cnt
362
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_zz_top/rd_en_d
363
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_zz_top/rd_en
364
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_zz_top/fdct_buf_sel_s
365
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_zz_top/u_zigzag/rst
366
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_zz_top/u_zigzag/clk
367
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_zz_top/u_zigzag/di
368
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_zz_top/u_zigzag/divalid
369
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_zz_top/u_zigzag/rd_addr
370
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_zz_top/u_zigzag/fifo_rden
371
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_zz_top/u_zigzag/fifo_empty
372
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_zz_top/u_zigzag/dout
373
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_zz_top/u_zigzag/dovalid
374
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_zz_top/u_zigzag/zz_rd_addr
375
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_zz_top/u_zigzag/fifo_wr
376
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_zz_top/u_zigzag/fifo_q
377
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_zz_top/u_zigzag/fifo_full
378
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_zz_top/u_zigzag/fifo_count
379
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_zz_top/u_zigzag/fifo_data_in
380
add wave -noupdate -divider {zigzag core}
381
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_zz_top/u_zigzag/rst
382
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_zz_top/u_zigzag/clk
383
add wave -noupdate -format Literal -radix decimal /jpeg_tb/u_jpegenc/u_zz_top/u_zigzag/di
384
add wave -noupdate -format Logic -radix decimal /jpeg_tb/u_jpegenc/u_zz_top/u_zigzag/divalid
385
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_zz_top/u_zigzag/rd_addr
386
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_zz_top/u_zigzag/fifo_rden
387
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_zz_top/u_zigzag/fifo_empty
388
add wave -noupdate -format Literal -radix decimal /jpeg_tb/u_jpegenc/u_zz_top/u_zigzag/dout
389
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_zz_top/u_zigzag/dovalid
390
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_zz_top/u_zigzag/zz_rd_addr
391
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_zz_top/u_zigzag/fifo_wr
392
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_zz_top/u_zigzag/fifo_q
393
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_zz_top/u_zigzag/fifo_full
394
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_zz_top/u_zigzag/fifo_count
395
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_zz_top/u_zigzag/fifo_data_in
396
add wave -noupdate -divider quantizer
397
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_zz_top/u_quantizer/rst
398
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_zz_top/u_quantizer/clk
399
add wave -noupdate -format Literal -radix decimal /jpeg_tb/u_jpegenc/u_zz_top/u_quantizer/di
400
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_zz_top/u_quantizer/divalid
401
add wave -noupdate -format Literal -radix decimal /jpeg_tb/u_jpegenc/u_zz_top/u_quantizer/do
402
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_zz_top/u_quantizer/dovalid
403
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_zz_top/u_quantizer/romaddr_s
404
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_zz_top/u_quantizer/slv_romaddr_s
405
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_zz_top/u_quantizer/romdatao_s
406
add wave -noupdate -format Literal -radix decimal /jpeg_tb/u_jpegenc/u_zz_top/u_quantizer/di_d1
407
add wave -noupdate -format Literal -radix unsigned /jpeg_tb/u_jpegenc/u_zz_top/u_quantizer/divisor_s
408
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_zz_top/u_quantizer/remainder_s
409
add wave -noupdate -format Literal -radix decimal /jpeg_tb/u_jpegenc/u_zz_top/u_quantizer/do_s
410
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_zz_top/u_quantizer/round_s
411
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_zz_top/u_quantizer/sign_bit_pipe
412
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_zz_top/u_quantizer/pipeline_reg
413
add wave -noupdate -format Literal -radix decimal /jpeg_tb/u_jpegenc/u_zz_top/u_quantizer/do_rdiv
414
add wave -noupdate -divider r_divider
415
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_zz_top/u_quantizer/r_divider/rst
416
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_zz_top/u_quantizer/r_divider/clk
417
add wave -noupdate -format Literal -radix decimal /jpeg_tb/u_jpegenc/u_zz_top/u_quantizer/r_divider/a
418
add wave -noupdate -format Literal -radix unsigned /jpeg_tb/u_jpegenc/u_zz_top/u_quantizer/r_divider/d
419
add wave -noupdate -format Literal -radix decimal /jpeg_tb/u_jpegenc/u_zz_top/u_quantizer/r_divider/q
420
add wave -noupdate -format Literal -radix unsigned /jpeg_tb/u_jpegenc/u_zz_top/u_quantizer/r_divider/romr_addr
421
add wave -noupdate -format Literal -radix unsigned /jpeg_tb/u_jpegenc/u_zz_top/u_quantizer/r_divider/romr_datao
422
add wave -noupdate -format Literal -radix decimal /jpeg_tb/u_jpegenc/u_zz_top/u_quantizer/r_divider/dividend
423
add wave -noupdate -format Literal -radix unsigned /jpeg_tb/u_jpegenc/u_zz_top/u_quantizer/r_divider/dividend_d1
424
add wave -noupdate -format Literal -radix unsigned /jpeg_tb/u_jpegenc/u_zz_top/u_quantizer/r_divider/reciprocal
425
add wave -noupdate -format Literal -radix decimal /jpeg_tb/u_jpegenc/u_zz_top/u_quantizer/r_divider/mult_out_msb
426
add wave -noupdate -format Literal -radix unsigned /jpeg_tb/u_jpegenc/u_zz_top/u_quantizer/r_divider/mult_out
427
add wave -noupdate -format Literal -radix decimal /jpeg_tb/u_jpegenc/u_zz_top/u_quantizer/r_divider/mult_out_s
428
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_zz_top/u_quantizer/r_divider/signbit
429
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_zz_top/u_quantizer/r_divider/signbit_d1
430
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_zz_top/u_quantizer/r_divider/signbit_d2
431
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_zz_top/u_quantizer/r_divider/signbit_d3
432
add wave -noupdate -divider RLE_TOP
433
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/clk
434
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/rst
435
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/start_pb
436
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/ready_pb
437
add wave -noupdate -format Literal -expand /jpeg_tb/u_jpegenc/rle_sm_settings
438
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/huf_buf_sel
439
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/huf_rden
440
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_rle_top/huf_runlength
441
add wave -noupdate -format Literal -radix unsigned /jpeg_tb/u_jpegenc/u_rle_top/huf_size
442
add wave -noupdate -format Literal -radix unsigned /jpeg_tb/u_jpegenc/u_rle_top/huf_amplitude
443
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/huf_dval
444
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/huf_fifo_empty
445
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/zig_buf_sel
446
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_rle_top/zig_rd_addr
447
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_rle_top/zig_data
448
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_rle_top/dbuf_data
449
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_rle_top/dbuf_q
450
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/dbuf_we
451
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_rle_top/rd_cnt
452
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_rle_top/rd_en_d
453
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/rd_en
454
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_rle_top/rle_runlength
455
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_rle_top/rle_size
456
add wave -noupdate -format Literal -radix decimal /jpeg_tb/u_jpegenc/u_rle_top/rle_amplitude
457
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/rle_dovalid
458
add wave -noupdate -format Literal -radix decimal /jpeg_tb/u_jpegenc/u_rle_top/rle_di
459
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/rle_divalid
460
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/zig_buf_sel_s
461
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/huf_dval_p0
462
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/clk
463
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/rst
464
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/data_in
465
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/wren
466
add wave -noupdate -format Literal -radix unsigned /jpeg_tb/u_jpegenc/u_rle_top/wr_cnt
467
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/buf_sel
468
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/rd_req
469
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/fifo_empty
470
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/data_out
471
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/fifo1_rd
472
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/fifo1_wr
473
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/fifo1_q
474
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/fifo1_full
475
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/fifo1_empty
476
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/fifo1_count
477
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/fifo2_rd
478
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/fifo2_wr
479
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/fifo2_q
480
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/fifo2_full
481
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/fifo2_empty
482
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/fifo2_count
483
add wave -noupdate -divider rle_core
484
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rle/rst
485
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rle/clk
486
add wave -noupdate -format Literal -radix decimal /jpeg_tb/u_jpegenc/u_rle_top/u_rle/di
487
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rle/divalid
488
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_rle_top/u_rle/wr_cnt
489
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rle/start_pb
490
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rle/sof
491
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_rle_top/u_rle/acc_reg
492
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_rle_top/u_rle/size_reg
493
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_rle_top/u_rle/ampli_vli_reg
494
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_rle_top/u_rle/runlength_reg
495
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rle/dovalid_reg
496
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_rle_top/u_rle/zero_cnt
497
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_rle_top/u_rle/wr_cnt_d1
498
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rle/dovalid
499
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_rle_top/u_rle/runlength
500
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_rle_top/u_rle/size
501
add wave -noupdate -format Literal -radix decimal /jpeg_tb/u_jpegenc/u_rle_top/u_rle/amplitude
502
add wave -noupdate -divider HUFFMAN
503
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_huffman/clk
504
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_huffman/rst
505
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_huffman/start_pb
506
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_huffman/ready_pb
507
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/huf_sm_settings
508
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_huffman/sof
509
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_huffman/runlength
510
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_huffman/vli_size
511
add wave -noupdate -format Literal -radix decimal /jpeg_tb/u_jpegenc/u_huffman/vli
512
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_huffman/img_size_x
513
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_huffman/img_size_y
514
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_huffman/rle_buf_sel
515
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_huffman/rle_fifo_empty
516
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_huffman/state
517
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_huffman/rle_buf_sel_s
518
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_huffman/first_rle_word
519
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_huffman/word_reg
520
add wave -noupdate -format Literal -radix unsigned /jpeg_tb/u_jpegenc/u_huffman/bit_ptr
521
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_huffman/num_fifo_wrs
522
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_huffman/fifo_wbyte
523
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_huffman/fifo_wrt_cnt
524
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_huffman/fifo_wren
525
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_huffman/last_block
526
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_huffman/image_area_size
527
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_huffman/block_cnt
528
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_huffman/rd_en
529
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_huffman/d_val
530
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_huffman/vli_size_d
531
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_huffman/vli_d
532
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_huffman/vlc_size
533
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_huffman/vlc
534
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_huffman/vli_ext
535
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_huffman/vli_ext_size
536
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_huffman/vlc_dc_size
537
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_huffman/vlc_dc
538
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_huffman/vlc_ac_size
539
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_huffman/vlc_ac
540
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_huffman/d_val_d1
541
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_huffman/d_val_d2
542
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_huffman/d_val_d3
543
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_huffman/ready_hfw
544
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_huffman/hfw_running
545
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_huffman/vli_size_r
546
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_huffman/vli_r
547
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_huffman/bs_buf_sel
548
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_huffman/bs_fifo_empty
549
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_huffman/bs_rd_req
550
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_huffman/bs_packed_byte
551
add wave -noupdate -divider DoubleFIFO
552
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_huffman/u_doublefifo/clk
553
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_huffman/u_doublefifo/rst
554
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_huffman/u_doublefifo/data_in
555
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_huffman/u_doublefifo/wren
556
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_huffman/u_doublefifo/buf_sel
557
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_huffman/u_doublefifo/rd_req
558
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_huffman/u_doublefifo/fifo_empty
559
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_huffman/u_doublefifo/data_out
560
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_huffman/u_doublefifo/fifo1_rd
561
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_huffman/u_doublefifo/fifo1_wr
562
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_huffman/u_doublefifo/fifo1_q
563
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_huffman/u_doublefifo/fifo1_full
564
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_huffman/u_doublefifo/fifo1_empty
565
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_huffman/u_doublefifo/fifo1_count
566
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_huffman/u_doublefifo/fifo2_rd
567
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_huffman/u_doublefifo/fifo2_wr
568
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_huffman/u_doublefifo/fifo2_q
569
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_huffman/u_doublefifo/fifo2_full
570
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_huffman/u_doublefifo/fifo2_empty
571
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_huffman/u_doublefifo/fifo2_count
572
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_huffman/u_doublefifo/fifo_data_in
573
add wave -noupdate -divider BYTE_STUFFER
574
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_bytestuffer/clk
575
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_bytestuffer/rst
576
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_bytestuffer/start_pb
577
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_bytestuffer/ready_pb
578
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/bs_sm_settings
579
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_bytestuffer/sof
580
add wave -noupdate -format Literal -radix unsigned /jpeg_tb/u_jpegenc/u_bytestuffer/num_enc_bytes
581
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_bytestuffer/outram_base_addr
582
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_bytestuffer/huf_buf_sel
583
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_bytestuffer/huf_fifo_empty
584
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_bytestuffer/huf_rd_req
585
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_bytestuffer/huf_packed_byte
586
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_bytestuffer/latch_byte
587
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_bytestuffer/data_valid
588
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_bytestuffer/wait_for_ndata
589
add wave -noupdate -format Literal -expand /jpeg_tb/u_jpegenc/u_bytestuffer/huf_data_val
590
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_bytestuffer/wdata_reg
591
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_bytestuffer/wraddr
592
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_bytestuffer/wr_n_cnt
593
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_bytestuffer/huf_buf_sel_s
594
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_bytestuffer/rd_en
595
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_bytestuffer/huf_rd_req_s
596
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_bytestuffer/ram_wren
597
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_bytestuffer/ram_wraddr
598
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_bytestuffer/ram_byte
599
add wave -noupdate -format Logic /jpeg_tb/sim_done
600
add wave -noupdate -divider JFIFGen
601
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_jfifgen/clk
602
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_jfifgen/rst
603
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_jfifgen/start
604
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_jfifgen/ready
605
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_jfifgen/eoi
606
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_jfifgen/qwren
607
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_jfifgen/qwaddr
608
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_jfifgen/qwdata
609
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_jfifgen/image_size_reg
610
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_jfifgen/image_size_reg_wr
611
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_jfifgen/ram_byte
612
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_jfifgen/ram_wren
613
add wave -noupdate -format Literal -radix unsigned /jpeg_tb/u_jpegenc/u_jfifgen/ram_wraddr
614
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_jfifgen/hr_data
615
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_jfifgen/hr_waddr
616
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_jfifgen/hr_raddr
617
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_jfifgen/hr_we
618
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_jfifgen/hr_q
619
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_jfifgen/size_wr_cnt
620
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_jfifgen/size_wr
621
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_jfifgen/rd_cnt
622
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_jfifgen/rd_en
623
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_jfifgen/rd_en_d1
624
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_jfifgen/rd_cnt_d1
625
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_jfifgen/rd_cnt_d2
626
add wave -noupdate -divider OutMux
627
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_outmux/clk
628
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_outmux/rst
629
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_outmux/out_mux_ctrl
630
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_outmux/bs_ram_byte
631
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_outmux/bs_ram_wren
632
add wave -noupdate -format Literal -radix unsigned /jpeg_tb/u_jpegenc/u_outmux/bs_ram_wraddr
633
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_outmux/jfif_ram_byte
634
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_outmux/jfif_ram_wren
635
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_outmux/jfif_ram_wraddr
636
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_outmux/ram_byte
637
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_outmux/ram_wren
638
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_outmux/ram_wraddr
639
TreeUpdate [SetDefaultTree]
640
WaveRestoreCursors {{Cursor 4} {78632065 ps} 0}
641
configure wave -namecolwidth 150
642
configure wave -valuecolwidth 55
643
configure wave -justifyvalue left
644
configure wave -signalnamewidth 1
645
configure wave -snapdistance 10
646
configure wave -datasetprefix 0
647
configure wave -rowmargin 4
648
configure wave -childrowmargin 2
649
configure wave -gridoffset 0
650
configure wave -gridperiod 1
651
configure wave -griddelta 40
652
configure wave -timeline 0
653
configure wave -timelineunits ps
654
update
655
WaveRestoreZoom {78558950 ps} {78764030 ps}

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.