OpenCores
URL https://opencores.org/ocsvn/mkjpeg/mkjpeg/trunk

Subversion Repositories mkjpeg

[/] [mkjpeg/] [trunk/] [design/] [mdct/] [MDCT_PKG.vhd] - Blame information for rev 25

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 25 mikel262
--------------------------------------------------------------------------------
2
--                                                                            --
3
--                          V H D L    F I L E                                --
4
--                          COPYRIGHT (C) 2006                                --
5
--                                                                            --
6
--------------------------------------------------------------------------------
7
--
8
-- Title       : MDCT_PKG
9
-- Design      : MDCT Core
10
-- Author      : Michal Krepa
11
--
12
--------------------------------------------------------------------------------
13
--
14
-- File        : MDCT_PKG.VHD
15
-- Created     : Sat Mar 5 2006
16
--
17
--------------------------------------------------------------------------------
18
--
19
--  Description : Package for MDCT core
20
--
21
--------------------------------------------------------------------------------
22
 
23
library IEEE;
24
  use IEEE.STD_LOGIC_1164.all;
25
  use ieee.numeric_std.all;
26
 
27
package MDCT_PKG is
28
 
29
  constant IP_W                 : INTEGER := 8;
30
  constant OP_W                 : INTEGER := 12;
31
  constant N                    : INTEGER := 8;
32
  constant COE_W                : INTEGER := 12;
33
  constant ROMDATA_W            : INTEGER := COE_W+2;
34
  constant ROMADDR_W            : INTEGER := 6;
35
  constant RAMDATA_W            : INTEGER := 10;
36
  constant RAMADRR_W            : INTEGER := 6;
37
  constant COL_MAX              : INTEGER := N-1;
38
  constant ROW_MAX              : INTEGER := N-1;
39
  constant LEVEL_SHIFT          : INTEGER := 128;
40
  constant DA_W                 : INTEGER := ROMDATA_W+IP_W;
41
  constant DA2_W                : INTEGER := DA_W+2;
42
  -- 2's complement numbers
43
 
44
        constant AP : INTEGER := 1448;
45
        constant BP : INTEGER := 1892;
46
        constant CP : INTEGER := 784;
47
        constant DP : INTEGER := 2009;
48
        constant EP : INTEGER := 1703;
49
        constant FP : INTEGER := 1138;
50
        constant GP : INTEGER := 400;
51
        constant AM : INTEGER := -1448;
52
        constant BM : INTEGER := -1892;
53
        constant CM : INTEGER := -784;
54
        constant DM : INTEGER := -2009;
55
        constant EM : INTEGER := -1703;
56
        constant FM : INTEGER := -1138;
57
        constant GM : INTEGER := -400;
58
 
59
  type T_ROM1DATAO  is array(0 to 8) of STD_LOGIC_VECTOR(ROMDATA_W-1 downto 0);
60
  type T_ROM1ADDRO  is array(0 to 8) of STD_LOGIC_VECTOR(ROMADDR_W-1 downto 0);
61
 
62
  type T_ROM2DATAO  is array(0 to 10) of STD_LOGIC_VECTOR(ROMDATA_W-1 downto 0);
63
  type T_ROM2ADDRO  is array(0 to 10) of STD_LOGIC_VECTOR(ROMADDR_W-1 downto 0);
64
 
65
 
66
end MDCT_PKG;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.