OpenCores
URL https://opencores.org/ocsvn/mkjpeg/mkjpeg/trunk

Subversion Repositories mkjpeg

[/] [mkjpeg/] [trunk/] [design/] [iramif/] [IRAMIF.vhd] - Blame information for rev 25

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 25 mikel262
-------------------------------------------------------------------------------
2
-- File Name : IRamIF.vhd
3
--
4
-- Project   : JPEG_ENC
5
--
6
-- Module    : IRamIF
7
--
8
-- Content   : IMAGE RAM Interface
9
--
10
-- Description : 
11
--
12
-- Spec.     : 
13
--
14
-- Author    : Michal Krepa
15
--
16
-------------------------------------------------------------------------------
17
-- History :
18
-- 20090301: (MK): Initial Creation.
19
-------------------------------------------------------------------------------
20
 
21
library ieee;
22
  use ieee.std_logic_1164.all;
23
  use ieee.numeric_std.all;
24
 
25
entity IRamIF is
26
  port
27
  (
28
        CLK                : in  std_logic;
29
        RST                : in  std_logic;
30
 
31
        -- IMAGE RAM
32
        iram_addr          : out std_logic_vector(19 downto 0);
33
        iram_rdata         : in  std_logic_vector(23 downto 0);
34
 
35
        -- FDCT            
36
        jpg_iram_rden      : in  std_logic;
37
        jpg_iram_rdaddr    : in  std_logic_vector(31 downto 0);
38
        jpg_iram_data      : out std_logic_vector(23 downto 0)
39
    );
40
end entity IRamIF;
41
 
42
-------------------------------------------------------------------------------
43
-------------------------------------------------------------------------------
44
----------------------------------- ARCHITECTURE ------------------------------
45
-------------------------------------------------------------------------------
46
-------------------------------------------------------------------------------
47
architecture RTL of IRamIF is
48
 
49
 
50
-------------------------------------------------------------------------------
51
-- Architecture: begin
52
-------------------------------------------------------------------------------
53
begin
54
 
55
  jpg_iram_data  <= iram_rdata;
56
 
57
  -------------------------------------------------------------------
58
  -- 
59
  -------------------------------------------------------------------
60
  p_if : process(CLK, RST)
61
  begin
62
    if RST = '1' then
63
      iram_addr   <= (others => '0');
64
    elsif CLK'event and CLK = '1' then
65
      -- host has access
66
      iram_addr   <= jpg_iram_rdaddr(iram_addr'range);
67
    end if;
68
  end process;
69
 
70
 
71
end architecture RTL;
72
-------------------------------------------------------------------------------
73
-- Architecture: end
74
-------------------------------------------------------------------------------

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.